From cab33c4495e0dc3c87da04907e93fdf5df4221ff Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 16 Nov 2023 11:01:57 -0700 Subject: [PATCH 01/24] update for pysam hybrids --- ssc/cmod_battery.cpp | 2 +- ssc/cmod_fuelcell.cpp | 2 +- ssc/cmod_generic_system.cpp | 2 +- ssc/cmod_hybrid.cpp | 70 ++++++++++++++++++++----------------- ssc/cmod_pvsamv1.cpp | 2 +- ssc/cmod_pvwattsv8.cpp | 2 +- ssc/cmod_windpower.cpp | 2 +- ssc/common.cpp | 15 +++++++- ssc/common.h | 3 +- 9 files changed, 59 insertions(+), 41 deletions(-) diff --git a/ssc/cmod_battery.cpp b/ssc/cmod_battery.cpp index e2e334d3e..b766a2bf9 100644 --- a/ssc/cmod_battery.cpp +++ b/ssc/cmod_battery.cpp @@ -2248,7 +2248,7 @@ class cm_battery : public compute_module add_var_info(vtab_resilience_outputs); add_var_info(vtab_utility_rate_common); add_var_info(vtab_grid_curtailment); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } diff --git a/ssc/cmod_fuelcell.cpp b/ssc/cmod_fuelcell.cpp index 1d3efa37b..f2765217f 100644 --- a/ssc/cmod_fuelcell.cpp +++ b/ssc/cmod_fuelcell.cpp @@ -119,7 +119,7 @@ cm_fuelcell::cm_fuelcell() add_var_info(vtab_fuelcell_input); add_var_info(vtab_fuelcell_output); add_var_info(vtab_technology_outputs); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } // Have to add this since compute module isn't actually fully constructed until compute is called with diff --git a/ssc/cmod_generic_system.cpp b/ssc/cmod_generic_system.cpp index a2ab67279..c3d827a42 100644 --- a/ssc/cmod_generic_system.cpp +++ b/ssc/cmod_generic_system.cpp @@ -81,7 +81,7 @@ class cm_generic_system : public compute_module // performance adjustment factors add_var_info(vtab_adjustment_factors); add_var_info(vtab_technology_outputs); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } void exec( ) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index ec1880f4a..ad7fe2623 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -32,7 +32,7 @@ OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. #include "core.h" - +#include "common.h" static var_info _cm_vtab_hybrid[] = { @@ -79,8 +79,13 @@ class cm_hybrid : public compute_module batteries.push_back(computemodulename); else if (computemodulename == "fuelcell") fuelcells.push_back(computemodulename); - else + else { financials.push_back(computemodulename); + computemodulename = "hybrid"; + } + var_data* compute_module_inputs = input_table->table.lookup(computemodulename); + if (compute_module_inputs->type != SSC_TABLE) + throw exec_error("hybrid", "No input input_table found for " + computemodulename); } // Hybrid system precheck @@ -94,11 +99,15 @@ class cm_hybrid : public compute_module // run all generators and collect outputs and compute outputs size_t maximumTimeStepsPerHour = 1, currentTimeStepsPerHour; double hybridSystemCapacity = 0, hybridTotalInstalledCost = 0; - ssc_number_t inflation_rate; - int len, analysisPeriod = 0; + int len = 0; std::vector genTimestepsPerHour; bool ts_adj = false; // keep track of whether time step is adjusted for log messages + // get financial inputs common to all technologies + var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); + int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; + ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num; + for (size_t igen = 0; igen < generators.size(); igen++) { percent = 100.0f * ((float)igen / (float)(generators.size() + fuelcells.size() + batteries.size() + financials.size())); @@ -106,22 +115,30 @@ class cm_hybrid : public compute_module std::string& compute_module = generators[igen]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for " + compute_module); - - ssc_number_t system_capacity = compute_module_inputs->table.lookup("system_capacity")->num; - - hybridSystemCapacity += system_capacity; - hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - analysisPeriod = (int)compute_module_inputs->table.lookup("analysis_period")->num; - ssc_module_t module = ssc_module_create(compute_module.c_str()); + // run verify + class compute_module* cmod = static_cast(module); + cmod->add_var_info(vtab_hybrid_tech_om_inputs); var_table& input = compute_module_inputs->table; ssc_data_set_number(static_cast(&input), "en_batt", 0); + if (!ssc_module_exec(module, static_cast(&input))){ + std::string str = std::string(compute_module) + " execution error.\n"; + int idx = 0; + while ( const char *msg = ssc_module_log( module, idx++, nullptr, nullptr ) ) + { + str += "\t"; + str += std::string(msg); + str += "\n\n"; + } + ssc_module_free(module); + throw std::runtime_error(str); + } - ssc_module_exec(module, static_cast(&input)); + ssc_number_t system_capacity = compute_module_inputs->table.lookup("system_capacity")->num; + hybridSystemCapacity += system_capacity; + hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; ssc_data_t compute_module_outputs = ssc_data_create(); @@ -132,14 +149,17 @@ class cm_hybrid : public compute_module auto var_name = ssc_info_name(p_inf); auto var_value = input.lookup(var_name); ssc_data_set_var(compute_module_outputs, var_name, var_value); - } + } } + bool system_use_lifetime_output = false; + if (compute_module_inputs->table.lookup("system_use_lifetime_output")) + system_use_lifetime_output = compute_module_inputs->table.lookup("system_use_lifetime_output")->num; // get minimum timestep from gen vector ssc_number_t* curGen = ssc_data_get_array(compute_module_outputs, "gen", &len); currentTimeStepsPerHour = len / 8760; log(util::format("Simulation time step is %d minutes for %s.", 60 / int(maximumTimeStepsPerHour), compute_module.c_str()), SSC_NOTICE); - if (compute_module_inputs->table.lookup("system_use_lifetime_output")->num > 0) // below - assuming single year only + if (system_use_lifetime_output > 0) // below - assuming single year only currentTimeStepsPerHour /= analysisPeriod; if (currentTimeStepsPerHour > maximumTimeStepsPerHour) { @@ -152,7 +172,6 @@ class cm_hybrid : public compute_module ssc_number_t* pOMProduction = ((var_table*)compute_module_outputs)->allocate("cf_om_production", analysisPeriod + 1); ssc_number_t* pOMCapacity = ((var_table*)compute_module_outputs)->allocate("cf_om_capacity", analysisPeriod + 1); ssc_number_t* pOMFixed = ((var_table*)compute_module_outputs)->allocate("cf_om_fixed", analysisPeriod + 1); - inflation_rate = compute_module_inputs->table.lookup("inflation_rate")->num * 0.01; escal_or_annual(input, pOMFixed, analysisPeriod, "om_fixed", inflation_rate, 1.0, false, input.as_double("om_fixed_escal") * 0.01); // $ escal_or_annual(input, pOMProduction, analysisPeriod, "om_production", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kWh after conversion @@ -165,7 +184,7 @@ class cm_hybrid : public compute_module ssc_number_t* pEnergyNet = ((var_table*)compute_module_outputs)->allocate("cf_energy_net", analysisPeriod + 1); ssc_number_t* pDegradation = ((var_table*)compute_module_outputs)->allocate("cf_degradation", analysisPeriod + 1); - if (compute_module_inputs->table.lookup("system_use_lifetime_output")->num > 0) { // e.g. pvsamv1 + if (system_use_lifetime_output > 0) { // e.g. pvsamv1 size_t timestepsPerYear = len / analysisPeriod; for (int i = 0; i < analysisPeriod; i++) { pDegradation[i + 1] = 1.0; @@ -276,13 +295,10 @@ class cm_hybrid : public compute_module std::string& compute_module = fuelcells[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + compute_module); ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_power_nameplate")->num; hybridSystemCapacity += system_capacity; hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - analysisPeriod = (int)compute_module_inputs->table.lookup("analysis_period")->num; ssc_module_t module = ssc_module_create(compute_module.c_str()); @@ -294,8 +310,6 @@ class cm_hybrid : public compute_module // merge in hybrid vartable for configurations where battery and fuel cell dispatch are combined and not in the technology bin std::string hybridVarTable("Hybrid"); var_data* hybrid_inputs = input_table->table.lookup(hybridVarTable); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + hybridVarTable); var_table& hybridinput = hybrid_inputs->table; input.merge(hybridinput, false); ssc_module_exec(module, static_cast(&input)); @@ -320,7 +334,6 @@ class cm_hybrid : public compute_module ssc_number_t* pOMCapacity = ((var_table*)compute_module_outputs)->allocate("cf_om_capacity", analysisPeriod + 1); ssc_number_t* pOMFixed = ((var_table*)compute_module_outputs)->allocate("cf_om_fixed", analysisPeriod + 1); ssc_number_t* pFuelCellReplacement = ((var_table*)compute_module_outputs)->allocate("cf_fuelcell_replacement_cost_schedule", analysisPeriod + 1); - inflation_rate = compute_module_inputs->table.lookup("inflation_rate")->num * 0.01; // can retrieve from "Hybrid" vartable directly escal_or_annual(input, pOMFixed, analysisPeriod, "om_fuelcell_fixed_cost", inflation_rate, 1.0, false, input.as_double("om_fixed_escal") * 0.01); // $ escal_or_annual(input, pOMProduction, analysisPeriod, "om_fuelcell_variable_cost", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kW escal_or_annual(input, pOMCapacity, analysisPeriod, "om_fuelcell_capacity_cost", inflation_rate, system_capacity, false, input.as_double("om_capacity_escal") * 0.01); // $ @@ -394,12 +407,9 @@ class cm_hybrid : public compute_module std::string& compute_module = batteries[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + compute_module); hybridSystemCapacity += compute_module_inputs->table.lookup("system_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - analysisPeriod = (int)compute_module_inputs->table.lookup("analysis_period")->num; ssc_module_t module = ssc_module_create(compute_module.c_str()); @@ -412,8 +422,6 @@ class cm_hybrid : public compute_module // merge in hybrid vartable for configurations where battery and fuel cell dispatch are combined and not in the technology bin std::string hybridVarTable("Hybrid"); var_data* hybrid_inputs = input_table->table.lookup(hybridVarTable); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + hybridVarTable); var_table& hybridinput = hybrid_inputs->table; input.merge(hybridinput, false); ssc_data_set_number(static_cast(&input), "en_batt", 1); @@ -438,7 +446,6 @@ class cm_hybrid : public compute_module ssc_number_t* pOMProduction = ((var_table*)compute_module_outputs)->allocate("cf_om_production", analysisPeriod + 1); ssc_number_t* pOMCapacity = ((var_table*)compute_module_outputs)->allocate("cf_om_capacity", analysisPeriod + 1); ssc_number_t* pOMFixed = ((var_table*)compute_module_outputs)->allocate("cf_om_fixed", analysisPeriod + 1); - inflation_rate = compute_module_inputs->table.lookup("inflation_rate")->num * 0.01; // can retrieve from "Hybrid" vartable directly escal_or_annual(input, pOMFixed, analysisPeriod, "om_batt_fixed_cost", inflation_rate, 1.0, false, input.as_double("om_fixed_escal") * 0.01); escal_or_annual(input, pOMProduction, analysisPeriod, "om_batt_variable_cost", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); std::vector battery_discharged(analysisPeriod, 0); @@ -583,9 +590,6 @@ class cm_hybrid : public compute_module // battery outputs passed in if present std::string hybridVarTable("Hybrid"); var_data* compute_module_inputs = input_table->table.lookup(hybridVarTable); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + hybridVarTable); - var_table& input = compute_module_inputs->table; // if (use_batt_output) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 5e0ac22f3..cbc6351a3 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1093,7 +1093,7 @@ cm_pvsamv1::cm_pvsamv1() add_var_info(vtab_resilience_outputs); add_var_info(vtab_utility_rate_common); // Required by battery add_var_info(vtab_grid_curtailment); // Required by battery - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 110901c6b..0f348d99f 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -310,7 +310,7 @@ class cm_pvwattsv8 : public compute_module add_var_info(_cm_vtab_pvwattsv8); add_var_info(vtab_adjustment_factors); add_var_info(vtab_technology_outputs); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); ld.add("poa_nominal", true); diff --git a/ssc/cmod_windpower.cpp b/ssc/cmod_windpower.cpp index edd3dffa7..7f87bb4c8 100644 --- a/ssc/cmod_windpower.cpp +++ b/ssc/cmod_windpower.cpp @@ -226,7 +226,7 @@ cm_windpower::cm_windpower(){ add_var_info(vtab_technology_outputs); // wind PRUF add_var_info(vtab_p50p90); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } diff --git a/ssc/common.cpp b/ssc/common.cpp index 42b78204f..55fd5ed3c 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -961,8 +961,21 @@ void calculate_resilience_outputs(compute_module *cm, std::unique_ptrassign("avg_critical_load", resilience->get_avg_crit_load_kwh()); } +// for financial inputs required for each technology in cmod_hybrid +var_info vtab_hybrid_tech_om_inputs[] = { + /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ + { SSC_INPUT, SSC_NUMBER, "total_installed_cost", "Total installed cost", "$", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_NUMBER, "om_fixed_escal", "Fixed O&M escalation", "%/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_NUMBER, "om_capacity_escal", "Capacity-based O&M escalation", "%/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual degradation", "%", "", "HybridTech", "*", "", "" }, +var_info_invalid }; + // for o and m cost outputs calculated in cmod_hybrid -var_info vtab_hybrid_tech_om[] = { +var_info vtab_hybrid_tech_om_outputs[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ // { SSC_INPUT, SSC_NUMBER, "is_hybrid", "hybrid configuration", "0/1", "0=singletech,1=hybrid", "HybridTech", "?=0", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "cf_om_production", "production O&M costs", "$", "", "HybridTech", "", "", "" }, diff --git a/ssc/common.h b/ssc/common.h index bb7d9cbf4..642c9573e 100644 --- a/ssc/common.h +++ b/ssc/common.h @@ -67,7 +67,8 @@ extern var_info vtab_resilience_outputs[]; extern var_info vtab_utility_rate_common[]; // hybrids -extern var_info vtab_hybrid_tech_om[]; +extern var_info vtab_hybrid_tech_om_inputs[]; +extern var_info vtab_hybrid_tech_om_outputs[]; extern var_info vtab_hybrid_fin_om[]; bool calculate_p50p90(compute_module *cm); From 11bcf67fcdab615f12f65eb68bbf50e1f36b676b Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 16 Nov 2023 16:29:49 -0700 Subject: [PATCH 02/24] fix inflation rate --- ssc/cmod_hybrid.cpp | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index ad7fe2623..02034969d 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -106,7 +106,7 @@ class cm_hybrid : public compute_module // get financial inputs common to all technologies var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; - ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num; + ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; for (size_t igen = 0; igen < generators.size(); igen++) { @@ -177,6 +177,8 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMProduction, analysisPeriod, "om_production", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kWh after conversion escal_or_annual(input, pOMCapacity, analysisPeriod, "om_capacity", inflation_rate, system_capacity, false, input.as_double("om_capacity_escal") * 0.01); // $ after multiplying by system capacity + printf("om capacity: %d, %f, %f, %f \n", analysisPeriod, inflation_rate, system_capacity, input.as_double("om_capacity_escal") * 0.01); + // production - multiply by yearly gen (initially assume single year) - use degradation - specific to each generator // pvwattsv8 - "degradation" applied in financial model - assuming single year analysis like standalone pvwatts/single owner configuration // wind - "degradation" applied in financial model - assumes system availability already applied to "gen" output From 200a973a09dd4b7cac5c9e2f054ac75daf0c8441 Mon Sep 17 00:00:00 2001 From: dguittet Date: Mon, 4 Dec 2023 16:01:29 -0700 Subject: [PATCH 03/24] fix to work on windows --- ssc/sscapi.cpp | 12 ++++++++++++ ssc/sscapi.h | 3 +++ test/CMakeLists.txt | 2 +- 3 files changed, 16 insertions(+), 1 deletion(-) diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index cb2a38ecf..8bd96e42b 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -265,6 +265,9 @@ static module_entry_info *module_table[] = { &cm_entry_hybrid, 0 }; +extern var_info vtab_hybrid_tech_om_inputs[]; + + SSCEXPORT ssc_module_t ssc_module_create( const char *name ) { std::string lname = util::lower_case( name ); @@ -1298,6 +1301,15 @@ SSCEXPORT ssc_bool_t ssc_module_add_var_info(ssc_module_t p_mod, ssc_info_t v) return 1; } +SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) +{ + compute_module* cmod = static_cast(p_mod); + if (!p_mod) + return 0; + + cmod->add_var_info(vtab_hybrid_tech_om_inputs); + return 1; +} SSCEXPORT const char *ssc_module_log( ssc_module_t p_mod, int index, int *item_type, float *time ) { diff --git a/ssc/sscapi.h b/ssc/sscapi.h index 08fd304c1..6abb5c8c0 100644 --- a/ssc/sscapi.h +++ b/ssc/sscapi.h @@ -438,6 +438,9 @@ SSCEXPORT ssc_bool_t ssc_module_exec_with_handler( /** Add a var info vartable to a compute module. */ SSCEXPORT ssc_bool_t ssc_module_add_var_info(ssc_module_t, ssc_info_t); +/** Adds the input variables required for a technology module to be used in a cmod_hybrid simulation. */ +SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod); + /** Retrive notices, warnings, and error messages from the simulation. Returns a NULL-terminated ASCII C string with the message text, or NULL if the index passed in was invalid. */ SSCEXPORT const char *ssc_module_log( ssc_module_t p_mod, int index, int *item_type, float *time ); diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 1b758c947..366357199 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -77,7 +77,7 @@ endif() if (CMAKE_BUILD_TYPE STREQUAL "Debug" OR MSVC) find_library( GTESTD_LIB - NAMES libgtest.a gtest.lib libgtest.so + NAMES libgtestd.a gtestd.lib libgtesdt.so PATHS $ENV{GTEST_DIR} ${GTDIR}/build/lib ${GTDIR}/build/lib/Debug) target_link_libraries(Test debug ${GTESTD_LIB}) endif() From a68f4138fac5e26dd008eac1235958d7cd178af8 Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 5 Dec 2023 10:56:34 -0700 Subject: [PATCH 04/24] update cmod_hybrid --- ssc/cmod_battery.cpp | 14 +- ssc/cmod_hybrid.cpp | 81 +- ssc/common.cpp | 22 +- ... FuelCell Battery Hybrid_Single Owner.json | 2798 +++++++++++++++++ test/ssc_test/cmod_hybrid_test.cpp | 67 + 5 files changed, 2936 insertions(+), 46 deletions(-) create mode 100644 test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json diff --git a/ssc/cmod_battery.cpp b/ssc/cmod_battery.cpp index 627366bc6..e9f5ea767 100644 --- a/ssc/cmod_battery.cpp +++ b/ssc/cmod_battery.cpp @@ -204,16 +204,16 @@ var_info vtab_battery_inputs[] = { { SSC_INPUT, SSC_NUMBER, "batt_cycle_cost_choice", "Use SAM cost model for degradaton penalty or input custom via batt_cycle_cost", "0/1", "0=UseCostModel,1=InputCost", "BatteryDispatch", "?=0", "", "" }, { SSC_INPUT, SSC_ARRAY, "batt_cycle_cost", "Input battery cycle degradaton penalty per year", "$/cycle-kWh","length 1 or analysis_period, length 1 will be extended using inflation", "BatteryDispatch", "batt_cycle_cost_choice=1", "", "" }, - { SSC_INPUT, SSC_NUMBER, "inflation_rate", "Inflation rate", "%", "", "Lifetime", "?=0", "MIN=-99", "" }, - { SSC_INPUT, SSC_ARRAY, "load_escalation", "Annual load escalation", "%/year", "", "Load", "?=0", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_batt_replacement_cost" , "Replacement cost 1" , "$/kWh" , "" , "System Costs" , "?=0.0" , "" , "" }, - { SSC_INPUT, SSC_NUMBER, "om_replacement_cost_escal" , "Replacement cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , "" }, + { SSC_INPUT, SSC_NUMBER, "inflation_rate", "Inflation rate", "%", "", "Lifetime", "?=0", "MIN=-99", "" }, + { SSC_INPUT, SSC_ARRAY, "load_escalation", "Annual load escalation", "%/year", "", "Load", "?=0", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_batt_replacement_cost", "Replacement cost 1", "$/kWh", "", "System Costs" , "?=0.0" , "" , "" }, + { SSC_INPUT, SSC_NUMBER, "om_replacement_cost_escal", "Replacement cost escalation", "%/year", "", "System Costs" , "?=0.0" , "" , "" }, - { SSC_INPUT,SSC_ARRAY , "om_batt_variable_cost" , "Battery production-based System Costs amount" , "$/MWh" , "" , "System Costs" , "?=0.0" , "" , "" }, - { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_batt_variable_cost", "Battery production-based System Costs amount", "$/MWh", "", "System Costs" , "?=0.0" , "" , "" }, + { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, // Powerflow calculation inputs - { SSC_INPUT, SSC_ARRAY, "fuelcell_power", "Electricity from fuel cell AC", "kW", "", "FuelCell", "", "", "" }, + { SSC_INPUT, SSC_ARRAY, "fuelcell_power", "Electricity from fuel cell AC", "kW", "", "FuelCell", "", "", "" }, var_info_invalid diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 02034969d..c1c4508c4 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -51,6 +51,20 @@ class cm_hybrid : public compute_module { add_var_info(_cm_vtab_hybrid); } + void ssc_module_exec_with_error(ssc_module_t module, var_table& input, std::string compute_module) { + if (!ssc_module_exec(module, static_cast(&input))){ + std::string str = std::string(compute_module) + " execution error.\n"; + int idx = 0; + while ( const char *msg = ssc_module_log( module, idx++, nullptr, nullptr ) ) + { + str += "\t"; + str += std::string(msg); + str += "\n\n"; + } + ssc_module_free(module); + throw std::runtime_error(str); + } + } void exec() { float percent = 0; @@ -103,10 +117,21 @@ class cm_hybrid : public compute_module std::vector genTimestepsPerHour; bool ts_adj = false; // keep track of whether time step is adjusted for log messages - // get financial inputs common to all technologies + // get financial inputs common to all technologies and copy into each tech's input tables var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; + ssc_number_t sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; + + for (size_t i = 0; i < vec_cms.size(); i++) { + std::string computemodulename = vec_cms[i].str; + var_data* compute_module_inputs = input_table->table.lookup(computemodulename); + if (compute_module_inputs) { + compute_module_inputs->table.assign("analysis_period", analysisPeriod); + compute_module_inputs->table.assign("inflation_rate", inflation_rate * 1e2); + compute_module_inputs->table.assign("sales_tax_rate", sales_tax_rate * 1e2); + } + } for (size_t igen = 0; igen < generators.size(); igen++) { @@ -116,25 +141,12 @@ class cm_hybrid : public compute_module std::string& compute_module = generators[igen]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); ssc_module_t module = ssc_module_create(compute_module.c_str()); - // run verify - class compute_module* cmod = static_cast(module); - cmod->add_var_info(vtab_hybrid_tech_om_inputs); + ssc_module_hybridize(module); var_table& input = compute_module_inputs->table; ssc_data_set_number(static_cast(&input), "en_batt", 0); - if (!ssc_module_exec(module, static_cast(&input))){ - std::string str = std::string(compute_module) + " execution error.\n"; - int idx = 0; - while ( const char *msg = ssc_module_log( module, idx++, nullptr, nullptr ) ) - { - str += "\t"; - str += std::string(msg); - str += "\n\n"; - } - ssc_module_free(module); - throw std::runtime_error(str); - } + ssc_module_exec_with_error(module, input, compute_module); ssc_number_t system_capacity = compute_module_inputs->table.lookup("system_capacity")->num; hybridSystemCapacity += system_capacity; @@ -199,7 +211,10 @@ class cm_hybrid : public compute_module else { size_t count_degrad = 0; ssc_number_t* degrad = 0; - degrad = input.as_array("degradation", &count_degrad); + if (compute_module == "generic_system") + degrad = input.as_array("generic_degradation", &count_degrad); + else + degrad = input.as_array("degradation", &count_degrad); if (count_degrad == 1) { for (int i = 1; i <= analysisPeriod; i++) pDegradation[i] = pow((1.0 - degrad[0] / 100.0), i - 1); @@ -294,16 +309,18 @@ class cm_hybrid : public compute_module percent = 100.0f * ((float)(generators.size() + fuelcells.size()) / (float)(generators.size() + fuelcells.size() + batteries.size() + financials.size())); update("", percent); - std::string& compute_module = fuelcells[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_power_nameplate")->num; + ssc_module_t module = ssc_module_create(compute_module.c_str()); + class compute_module* cmod = static_cast(module); + cmod->add_var_info(vtab_hybrid_tech_om_inputs); + + ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_unit_max_power")->num; + system_capacity *= compute_module_inputs->table.lookup("fuelcell_number_of_units")->num; hybridSystemCapacity += system_capacity; hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - ssc_module_t module = ssc_module_create(compute_module.c_str()); - var_table& input = compute_module_inputs->table; ssc_data_set_array(static_cast(&input), "gen", pGen, (int)genLength); ssc_data_set_number(static_cast(&input), "system_use_lifetime_output", 1); // for fuelcell_annual_energy_discharged @@ -314,7 +331,7 @@ class cm_hybrid : public compute_module var_data* hybrid_inputs = input_table->table.lookup(hybridVarTable); var_table& hybridinput = hybrid_inputs->table; input.merge(hybridinput, false); - ssc_module_exec(module, static_cast(&input)); + ssc_module_exec_with_error(module, input, compute_module); } ssc_data_t compute_module_outputs = ssc_data_create(); @@ -410,9 +427,18 @@ class cm_hybrid : public compute_module std::string& compute_module = batteries[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - hybridSystemCapacity += compute_module_inputs->table.lookup("system_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems + hybridSystemCapacity += compute_module_inputs->table.lookup("batt_computed_bank_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; + // copy over required dispatch variables from hybrid + compute_module_inputs->table.assign("dispatch_sched_weekday", *financial_compute_modules->table.lookup("dispatch_sched_weekday")); + compute_module_inputs->table.assign("dispatch_sched_weekend", *financial_compute_modules->table.lookup("dispatch_sched_weekend")); + compute_module_inputs->table.assign("dispatch_tod_factors", *financial_compute_modules->table.lookup("dispatch_tod_factors")); + compute_module_inputs->table.assign("grid_interconnection_limit_kwac", *financial_compute_modules->table.lookup("grid_interconnection_limit_kwac")); + compute_module_inputs->table.assign("ppa_escalation", *financial_compute_modules->table.lookup("ppa_escalation")); + compute_module_inputs->table.assign("ppa_multiplier_model", *financial_compute_modules->table.lookup("ppa_multiplier_model")); + compute_module_inputs->table.assign("ppa_price_input", *financial_compute_modules->table.lookup("ppa_price_input")); + ssc_module_t module = ssc_module_create(compute_module.c_str()); var_table& input = compute_module_inputs->table; @@ -428,7 +454,7 @@ class cm_hybrid : public compute_module input.merge(hybridinput, false); ssc_data_set_number(static_cast(&input), "en_batt", 1); - ssc_module_exec(module, static_cast(&input)); + ssc_module_exec_with_error(module, input, compute_module); } ssc_data_t compute_module_outputs = ssc_data_create(); @@ -534,7 +560,6 @@ class cm_hybrid : public compute_module pBattGen = ((var_table*)outputs)->lookup(batteries[0])->table.as_array("gen", &battGenLen); } - ssc_number_t* pHybridOMSum = ((var_table*)outputs)->allocate("cf_hybrid_om_sum", analysisPeriod + 1); // add to top level "output" - assumes analysis period the same for all generators for (int i = 0; i <= analysisPeriod; i++) @@ -584,9 +609,6 @@ class cm_hybrid : public compute_module } } - - - if (financials.size() > 0) { // run remaining compute modules with necessary inputs // note that single vartable is used to run multiple compute modules // battery outputs passed in if present @@ -627,8 +649,7 @@ class cm_hybrid : public compute_module std::string compute_module = financials[i]; ssc_module_t module = ssc_module_create(compute_module.c_str()); - ssc_module_exec(module, static_cast(&input)); - + ssc_module_exec_with_error(module, input, compute_module); int pidx = 0; while (const ssc_info_t p_inf = ssc_module_var_info(module, pidx++)) { diff --git a/ssc/common.cpp b/ssc/common.cpp index 6363dec4d..36f7dd891 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -963,15 +963,19 @@ void calculate_resilience_outputs(compute_module *cm, std::unique_ptr Date: Tue, 5 Dec 2023 11:05:13 -0700 Subject: [PATCH 05/24] remove print statement --- ssc/cmod_hybrid.cpp | 2 -- 1 file changed, 2 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index c1c4508c4..a181cac4d 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -189,8 +189,6 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMProduction, analysisPeriod, "om_production", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kWh after conversion escal_or_annual(input, pOMCapacity, analysisPeriod, "om_capacity", inflation_rate, system_capacity, false, input.as_double("om_capacity_escal") * 0.01); // $ after multiplying by system capacity - printf("om capacity: %d, %f, %f, %f \n", analysisPeriod, inflation_rate, system_capacity, input.as_double("om_capacity_escal") * 0.01); - // production - multiply by yearly gen (initially assume single year) - use degradation - specific to each generator // pvwattsv8 - "degradation" applied in financial model - assuming single year analysis like standalone pvwatts/single owner configuration // wind - "degradation" applied in financial model - assumes system availability already applied to "gen" output From 6de6421b0ad22995ccc9b2e8ca9ce740470a7f77 Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 7 Dec 2023 13:01:41 -0700 Subject: [PATCH 06/24] fix test/CMakeLists.txt for Debug --- test/CMakeLists.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 366357199..7a854f87a 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -77,7 +77,7 @@ endif() if (CMAKE_BUILD_TYPE STREQUAL "Debug" OR MSVC) find_library( GTESTD_LIB - NAMES libgtestd.a gtestd.lib libgtesdt.so + NAMES libgtestd.a gtest.lib libgtestd.so PATHS $ENV{GTEST_DIR} ${GTDIR}/build/lib ${GTDIR}/build/lib/Debug) target_link_libraries(Test debug ${GTESTD_LIB}) endif() From 6f87cf33a0be59b260484a4ae8fd97bacdc2bed1 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 18 Dec 2023 08:07:38 -0700 Subject: [PATCH 07/24] adjustment factors default to 0 --- ssc/common.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/ssc/common.cpp b/ssc/common.cpp index 36f7dd891..d6e6dae8d 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -515,7 +515,7 @@ var_info_invalid var_info vtab_adjustment_factors[] = { { SSC_INPUT,SSC_NUMBER , "adjust_constant" , "Constant loss adjustment" , "%", -"'adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21", "Adjustment Factors" , "*" , "MAX=100" , ""}, +"'adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21", "Adjustment Factors" , "?=0" , "MAX=100" , ""}, { SSC_INPUT, SSC_NUMBER, "adjust_en_timeindex" , "Enable lifetime adjustment factors", "0/1", "'adjust' and 'en_timeindex' separated by _ instead of : after SAM 2022.12.21", "Adjustment Factors", "?=0", "BOOLEAN", "" }, { SSC_INPUT, SSC_NUMBER, "adjust_en_periods" , "Enable period-based adjustment factors", "0/1", @@ -534,7 +534,7 @@ var_info_invalid }; var_info vtab_dc_adjustment_factors[] = { { SSC_INPUT,SSC_NUMBER , "dc_adjust_constant" , "DC Constant loss adjustment" , "%", -"'dc_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "*" , "MAX=100" , ""}, +"'dc_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "?=0" , "MAX=100" , ""}, { SSC_INPUT, SSC_NUMBER, "dc_adjust_en_timeindex" , "Enable lifetime adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, { SSC_INPUT, SSC_NUMBER, "dc_adjust_en_periods" , "Enable period-based adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, /* removed from UI but still used in scripts @@ -549,7 +549,7 @@ var_info_invalid }; var_info vtab_sf_adjustment_factors[] = { { SSC_INPUT,SSC_NUMBER , "sf_adjust_constant" , "SF Constant loss adjustment" , "%", -"'sf_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "*" , "MAX=100" , ""}, +"'sf_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "?=0" , "MAX=100" , ""}, { SSC_INPUT, SSC_NUMBER, "sf_adjust_en_timeindex" , "Enable lifetime adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, { SSC_INPUT, SSC_NUMBER, "sf_adjust_en_periods" , "Enable period-based adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, /* removed from UI but still used in scripts From 43f79e181936c58b159e14f091bbef9746506eba Mon Sep 17 00:00:00 2001 From: dguittet Date: Mon, 19 Feb 2024 12:06:55 -0700 Subject: [PATCH 08/24] fix hybrid test on windows --- test/ssc_test/cmod_hybrid_test.cpp | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 448ba4248..2b9d636d7 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -186,15 +186,15 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { auto revenue = ssc_data_get_array(hybrid_outputs, "cf_total_revenue", &len); auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); - EXPECT_NEAR(genericannualenergy, 756864000, 1); - EXPECT_NEAR(pvannualenergy, 211907359, 1); - EXPECT_NEAR(windannualenergy, 818888286, 1); - EXPECT_NEAR(battannualenergy, 1780823936, 1); + EXPECT_NEAR(genericannualenergy, 756864000., 1); + EXPECT_NEAR(pvannualenergy, 211907359., 1); + EXPECT_NEAR(windannualenergy, 818888286., 1); + EXPECT_NEAR(battannualenergy, 1780823936., 1); - EXPECT_NEAR(om_expenses[1], 155867774, 1); - EXPECT_NEAR(revenue[1], 86930659, 1); - EXPECT_NEAR(ebitda[1], -68937115, 1); - EXPECT_NEAR(npv, -3230348080, 1); + EXPECT_NEAR(om_expenses[1], 155867774., 1); + EXPECT_NEAR(revenue[1], 86930659., 1); + EXPECT_NEAR(ebitda[1], -68937115., 1); + EXPECT_NEAR(npv, -3230348080., 1); } ssc_data_free(dat); dat = nullptr; From c06c5eb4f38700082a4a38edb256178d0af52ed0 Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 20 Feb 2024 15:32:11 -0700 Subject: [PATCH 09/24] fix degradation input for hybrids --- ssc/common.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/common.cpp b/ssc/common.cpp index d6e6dae8d..340c73a53 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -975,7 +975,7 @@ var_info vtab_hybrid_tech_om_inputs[] = { { SSC_INPUT, SSC_ARRAY, "om_fuelcell_variable_cost", "Fuel cell production-based O&M amount", "$/MWh", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuelcell_capacity_cost", "Fuel cell capacity-based O&M amount", "$/kWcap", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "HybridTech", "abt:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "abt:system_use_lifetime_output", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid From 5da35c748311996bfea42b18c44c863469b2e17b Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 20 Feb 2024 16:32:04 -0700 Subject: [PATCH 10/24] HybridTech degradation as optional --- ssc/common.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/common.cpp b/ssc/common.cpp index 340c73a53..251cdaab0 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -975,7 +975,7 @@ var_info vtab_hybrid_tech_om_inputs[] = { { SSC_INPUT, SSC_ARRAY, "om_fuelcell_variable_cost", "Fuel cell production-based O&M amount", "$/MWh", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuelcell_capacity_cost", "Fuel cell capacity-based O&M amount", "$/kWcap", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "HybridTech", "abt:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "?=0", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid From ab14bc00f77e0c6d7491b6fad7d393fad4ed62cc Mon Sep 17 00:00:00 2001 From: Darice Date: Wed, 21 Feb 2024 13:50:07 -0700 Subject: [PATCH 11/24] fix degradation for generic_system in hybrids --- ssc/cmod_hybrid.cpp | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 8cb866f8f..97e6bac13 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -213,11 +213,9 @@ class cm_hybrid : public compute_module } else { size_t count_degrad = 0; - ssc_number_t* degrad = 0; + ssc_number_t* degrad = input.as_array("degradation", &count_degrad); if (compute_module == "generic_system") - degrad = input.as_array("generic_degradation", &count_degrad); - else - degrad = input.as_array("degradation", &count_degrad); + input.assign("generic_degradation", *input.lookup("degradation")); if (count_degrad == 1) { for (int i = 1; i <= analysisPeriod; i++) pDegradation[i] = pow((1.0 - degrad[0] / 100.0), i - 1); From a395353d151eadca15f794c0bf85696e8f6d49a9 Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 27 Feb 2024 15:39:25 -0700 Subject: [PATCH 12/24] add HybridCosts to interface --- ssc/cmod_hybrid.cpp | 19 +- ssc/cmod_singleowner.cpp | 1 + ssc/common.cpp | 93 +- ssc/common.h | 2 +- ssc/core.cpp | 9 + ssc/core.h | 2 +- ssc/sscapi.cpp | 72 +- test/CMakeLists.txt | 2 +- ...atts Wind Battery Hybrid_Single Owner.json | 1617 ++--------------- test/ssc_test/cmod_hybrid_test.cpp | 22 +- 10 files changed, 344 insertions(+), 1495 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 97e6bac13..cd40bc265 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -54,15 +54,14 @@ class cm_hybrid : public compute_module void ssc_module_exec_with_error(ssc_module_t module, var_table& input, std::string compute_module) { if (!ssc_module_exec(module, static_cast(&input))) { - std::string str = std::string(compute_module) + " execution error.\n"; + std::string str = std::string(compute_module) + " execution error. "; int idx = 0; int type = -1; while (const char* msg = ssc_module_log(module, idx++, &type, nullptr)) { if (/*/(type == SSC_NOTICE) || */(type == SSC_WARNING) || (type == SSC_ERROR)) { - str += "\t"; str += std::string(msg); - str += "\n\n"; + str += "\t"; } } ssc_module_free(module); @@ -123,7 +122,7 @@ class cm_hybrid : public compute_module bool ts_adj = false; // keep track of whether time step is adjusted for log messages // get financial inputs common to all technologies and copy into each tech's input tables - var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); + var_data* financial_compute_modules = input_table->table.lookup("hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; ssc_number_t sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; @@ -240,7 +239,7 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMLandLease, analysisPeriod, "om_land_lease", inflation_rate, total_land_area, false, input.as_double("om_land_lease_escal") * 0.01); } // optional fossil fuel costs - if (compute_module_inputs->table.lookup("om_fuel_cost")) { + if (compute_module_inputs->table.lookup("system_heat_rate")) { ssc_number_t* pOMFuelCost = ((var_table*)compute_module_outputs)->allocate("cf_om_fuel_cost", analysisPeriod + 1); ssc_number_t system_heat_rate = compute_module_inputs->table.lookup("system_heat_rate")->num; ssc_number_t year1_fuel_use = ((var_table*)compute_module_outputs)->as_double("annual_fuel_usage"); // kWht @@ -315,7 +314,7 @@ class cm_hybrid : public compute_module ssc_module_t module = ssc_module_create(compute_module.c_str()); class compute_module* cmod = static_cast(module); - cmod->add_var_info(vtab_hybrid_tech_om_inputs); + ssc_module_hybridize(module); ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_unit_max_power")->num; system_capacity *= compute_module_inputs->table.lookup("fuelcell_number_of_units")->num; @@ -430,15 +429,23 @@ class cm_hybrid : public compute_module hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; // copy over required dispatch variables from hybrid + if (financial_compute_modules->table.is_assigned("dispatch_sched_weekday")) compute_module_inputs->table.assign("dispatch_sched_weekday", *financial_compute_modules->table.lookup("dispatch_sched_weekday")); + if (financial_compute_modules->table.is_assigned("dispatch_sched_weekday")) compute_module_inputs->table.assign("dispatch_sched_weekend", *financial_compute_modules->table.lookup("dispatch_sched_weekend")); + if (financial_compute_modules->table.is_assigned("dispatch_tod_factors")) compute_module_inputs->table.assign("dispatch_tod_factors", *financial_compute_modules->table.lookup("dispatch_tod_factors")); + if (financial_compute_modules->table.is_assigned("grid_interconnection_limit_kwac")) compute_module_inputs->table.assign("grid_interconnection_limit_kwac", *financial_compute_modules->table.lookup("grid_interconnection_limit_kwac")); + if (financial_compute_modules->table.is_assigned("ppa_escalation")) compute_module_inputs->table.assign("ppa_escalation", *financial_compute_modules->table.lookup("ppa_escalation")); + if (financial_compute_modules->table.is_assigned("ppa_multiplier_model")) compute_module_inputs->table.assign("ppa_multiplier_model", *financial_compute_modules->table.lookup("ppa_multiplier_model")); + if (financial_compute_modules->table.is_assigned("ppa_price_input")) compute_module_inputs->table.assign("ppa_price_input", *financial_compute_modules->table.lookup("ppa_price_input")); ssc_module_t module = ssc_module_create(compute_module.c_str()); + ssc_module_hybridize(module); var_table& input = compute_module_inputs->table; diff --git a/ssc/cmod_singleowner.cpp b/ssc/cmod_singleowner.cpp index 8b5be505b..6fe75ea60 100644 --- a/ssc/cmod_singleowner.cpp +++ b/ssc/cmod_singleowner.cpp @@ -923,6 +923,7 @@ class cm_singleowner : public compute_module add_var_info(vtab_lcos_inputs); add_var_info(vtab_update_tech_outputs); add_var_info(vtab_tod_dispatch_periods); + add_var_info(vtab_utility_rate_common); add_var_info(vtab_hybrid_fin_om); add_var_info(vtab_update_tech_outputs); } diff --git a/ssc/common.cpp b/ssc/common.cpp index 251cdaab0..cf9d7b99c 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -99,48 +99,49 @@ var_info vtab_standard_loan[] = { { SSC_INPUT,SSC_NUMBER , "debt_fraction" , "Debt percentage" , "%" , "" , "Financial Parameters" , "?=0" , "MIN=0,MAX=100" , ""}, var_info_invalid }; +// meta should be either a blocklist (!gen,!gen) or an allowlist. Cannot do both blocked and allowed gens var_info vtab_oandm[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ -{ SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "!battery,!fuelcell", "System Costs", "?=0.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "om_fixed_escal", "Fixed O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "!battery,!fuelcell", "System Costs", "?=0.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "!battery,!fuelcell", "System Costs", "?=0.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "om_capacity_escal", "Capacity-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_NUMBER, "om_fuel_cost_escal", "Fuel cost escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_NUMBER, "annual_fuel_usage", "Fuel usage (yr 1)", "kWht", "", "System Costs", "?=0", "MIN=0", "" }, -{ SSC_INPUT, SSC_ARRAY, "annual_fuel_usage_lifetime", "Fuel usage (lifetime)", "kWht", "", "System Costs", "", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_NUMBER, "om_fuel_cost_escal", "Fuel cost escalation", "%/year", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_NUMBER, "annual_fuel_usage", "Fuel usage (yr 1)", "kWht", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "?=0", "MIN=0", "" }, +{ SSC_INPUT, SSC_ARRAY, "annual_fuel_usage_lifetime", "Fuel usage (lifetime)", "kWht", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "", "", "" }, // replacements -{ SSC_INPUT,SSC_ARRAY , "om_batt_replacement_cost" , "Replacement cost 1" , "$/kWh" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_replacement_cost" , "Replacement cost 2" , "$/kW" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_replacement_cost_escal" , "Replacement cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_replacement_cost" , "Replacement cost 1" , "$/kWh" , "battery" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_replacement_cost" , "Replacement cost 2" , "$/kW", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_replacement_cost_escal" , "Replacement cost escalation" , "%/year", "battery,fuelcell" , "System Costs" , "?=0.0" , "" , ""}, // optional fuel o and m for Biopower - usage can be in any unit and cost is in $ per usage unit -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_usage" , "Biomass feedstock usage" , "unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_1_cost" , "Biomass feedstock cost" , "$/unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_cost_escal" , "Biomass feedstock cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_usage" , "Coal feedstock usage" , "unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_2_cost" , "Coal feedstock cost" , "$/unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_cost_escal" , "Coal feedstock cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_usage" , "Biomass feedstock usage" , "unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_1_cost" , "Biomass feedstock cost" , "$/unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_cost_escal" , "Biomass feedstock cost escalation" , "%/year" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_usage" , "Coal feedstock usage" , "unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_2_cost" , "Coal feedstock cost" , "$/unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_cost_escal" , "Coal feedstock cost escalation" , "%/year" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, // optional additional base o and m types -{ SSC_INPUT,SSC_NUMBER , "add_om_num_types" , "Number of O and M types" , "" , "" , "System Costs" , "?=0" , "INTEGER,MIN=0,MAX=2" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_batt_nameplate" , "Battery capacity for System Costs values" , "kW" , "" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_production1_values" , "Battery production for System Costs values" , "kWh" , "" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "add_om_num_types" , "Number of O and M types" , "" , "battery,fuelcell" , "System Costs" , "?=0" , "INTEGER,MIN=0,MAX=2" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_batt_nameplate" , "Battery capacity for System Costs values" , "kW", "battery" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_production1_values" , "Battery production for System Costs values" , "kWh", "battery" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_batt_fixed_cost" , "Battery fixed System Costs annual amount" , "$/year" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_batt_variable_cost" , "Battery production-based System Costs amount" , "$/MWh" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_batt_capacity_cost" , "Battery capacity-based System Costs amount" , "$/kWcap" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_fixed_cost" , "Battery fixed System Costs annual amount" , "$/year", "battery", "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_variable_cost" , "Battery production-based System Costs amount" , "$/MWh", "battery" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_capacity_cost" , "Battery capacity-based System Costs amount" , "$/kWcap", "battery" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_fuelcell_nameplate" , "Fuel cell capacity for System Costs values" , "kW" , "" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_production2_values" , "Fuel cell production for System Costs values" , "kWh" , "" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_fuelcell_nameplate" , "Fuel cell capacity for System Costs values" , "kW", "fuelcell" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_production2_values" , "Fuel cell production for System Costs values" , "kWh", "fuelcell" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_fixed_cost" , "Fuel cell fixed System Costs annual amount" , "$/year" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_variable_cost" , "Fuel cell production-based System Costs amount" , "$/MWh" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_capacity_cost" , "Fuel cell capacity-based System Costs amount" , "$/kWcap" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_fixed_cost" , "Fuel cell fixed System Costs annual amount" , "$/year", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_variable_cost" , "Fuel cell production-based System Costs amount" , "$/MWh", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_capacity_cost" , "Fuel cell capacity-based System Costs amount" , "$/kWcap", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, // optional land lease { SSC_INPUT, SSC_NUMBER, "land_area", "Total land area", "acres", "", "Land Lease", "?=0", "", "" }, @@ -961,35 +962,27 @@ void calculate_resilience_outputs(compute_module *cm, std::unique_ptrassign("avg_critical_load", resilience->get_avg_crit_load_kwh()); } -// for financial inputs required for each technology in cmod_hybrid -var_info vtab_hybrid_tech_om_inputs[] = { +// financial inputs required for technologies in cmod_hybrid, array is populated in ssc_module_hybridize +var_info vtab_oandm_hybrid[sizeof(vtab_oandm) / sizeof(var_info)] = {var_info_invalid}; + +var_info vtab_hybrid_tech_inputs[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ - { SSC_INPUT, SSC_NUMBER, "total_installed_cost", "Total installed cost", "$", "", "HybridTech", "*", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_NUMBER, "om_fixed_escal", "Fixed O&M escalation", "%/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_NUMBER, "om_capacity_escal", "Capacity-based O&M escalation", "%/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_fuelcell_fixed_cost", "Fuel cell fixed O&M annual amount", "$/year", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, - { SSC_INPUT, SSC_ARRAY, "om_fuelcell_variable_cost", "Fuel cell production-based O&M amount", "$/MWh", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, - { SSC_INPUT, SSC_ARRAY, "om_fuelcell_capacity_cost", "Fuel cell capacity-based O&M amount", "$/kWcap", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, - { SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "HybridTech", "abt:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "?=0", "", "" }, + { SSC_INPUT, SSC_NUMBER, "total_installed_cost", "Total installed cost", "$", "", "HybridCosts", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridCosts", "?=0.0", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid var_info vtab_hybrid_tech_om_outputs[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ -// { SSC_INPUT, SSC_NUMBER, "is_hybrid", "hybrid configuration", "0/1", "0=singletech,1=hybrid", "HybridTech", "?=0", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_production", "production O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_capacity", "capacity O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_fixed", "fixed O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_land_lease", "land lease O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_fuel_cost", "fossil fuel O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_battery_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_fuelcell_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_energy_net", "annual energy", "kWh", "", "HybridTech", "", "", "" }, +// { SSC_INPUT, SSC_NUMBER, "is_hybrid", "hybrid configuration", "0/1", "0=singletech,1=hybrid", "HybridCosts", "?=0", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_production", "production O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_capacity", "capacity O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_fixed", "fixed O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_land_lease", "land lease O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_fuel_cost", "fossil fuel O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_battery_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_fuelcell_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_energy_net", "annual energy", "kWh", "", "HybridCosts", "", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid and added to operating expenses diff --git a/ssc/common.h b/ssc/common.h index 642c9573e..750a1ca8e 100644 --- a/ssc/common.h +++ b/ssc/common.h @@ -67,7 +67,7 @@ extern var_info vtab_resilience_outputs[]; extern var_info vtab_utility_rate_common[]; // hybrids -extern var_info vtab_hybrid_tech_om_inputs[]; +extern var_info vtab_hybrid_tech_inputs[]; extern var_info vtab_hybrid_tech_om_outputs[]; extern var_info vtab_hybrid_fin_om[]; diff --git a/ssc/core.cpp b/ssc/core.cpp index 3a38a89d7..7e883da2b 100644 --- a/ssc/core.cpp +++ b/ssc/core.cpp @@ -335,6 +335,15 @@ void compute_module::add_var_info(var_info vi[]) { } } +void compute_module::add_var_info(var_info* vi[]) { + int i = 0; + while (vi[i] != NULL && vi[i]->data_type != SSC_INVALID + && vi[i]->name != NULL ) { + m_varlist.push_back(vi[i]); + i++; + } +} + void compute_module::remove_var_info(var_info vi[]) { int i = 0; while (vi[i].data_type != SSC_INVALID diff --git a/ssc/core.h b/ssc/core.h index b957b40a5..66be4c0fd 100644 --- a/ssc/core.h +++ b/ssc/core.h @@ -208,7 +208,7 @@ class compute_module virtual bool on_extproc_output( const std::string & ) { return false; } void add_var_info(var_info vi[]); - + void add_var_info(var_info* vi[]); protected: diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index ad775f40a..a89589c9e 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -267,7 +267,9 @@ static module_entry_info *module_table[] = { &cm_entry_hybrid, 0 }; -extern var_info vtab_hybrid_tech_om_inputs[]; +extern var_info vtab_oandm[]; +extern var_info vtab_hybrid_tech_inputs[]; +extern var_info vtab_oandm_hybrid[]; SSCEXPORT ssc_module_t ssc_module_create( const char *name ) @@ -1309,7 +1311,73 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) if (!p_mod) return 0; - cmod->add_var_info(vtab_hybrid_tech_om_inputs); + if (vtab_oandm_hybrid[0].var_type == SSC_INVALID){ + memcpy(&vtab_oandm_hybrid, &vtab_oandm, 35 * sizeof(var_info)); + + for (size_t i=0; i < 35; i++){ + if (vtab_oandm_hybrid[i].var_type != 0) + vtab_oandm_hybrid[i].group = "HybridCosts"; + } + } + + // copy only the subset for the technology + std::string cmod_name = cmod->get_name(); + var_info* vtab_oandm_hybrid_tech[35]; + for (size_t i=0; i<35; i++) + vtab_oandm_hybrid_tech[i] = nullptr; + + size_t copy_counter = 0; + for (size_t i=0; i<35; i++){ + if (vtab_oandm_hybrid[i].var_type == SSC_INVALID) + break; + + std::string meta = std::string(vtab_oandm_hybrid[i].meta); + if (!meta.size()){ + // if no meta description on variable, apply it to all technologies + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + continue; + } + + size_t pos = 0; + std::vector tokens; + while ((pos = meta.find(',')) != std::string::npos){ + std::string token = meta.substr(0, pos); + tokens.push_back(token); + meta.erase(0, pos + 1); + } + if (meta.size()) + tokens.push_back(meta); + + if (tokens[0][0] == '!'){ + // apply blocklist + bool restricted = false; + for (std::string token:tokens){ + if (cmod_name == token.substr(1)){ + restricted = true; + break; + } + } + if (!restricted) { + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + continue; + } + } + else { + // apply allowlist + for (std::string token:tokens){ + if (cmod_name == token){ + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + break; + } + } + } + } + + cmod->add_var_info(vtab_oandm_hybrid_tech); + cmod->add_var_info(vtab_hybrid_tech_inputs); return 1; } diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 7a854f87a..1b758c947 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -77,7 +77,7 @@ endif() if (CMAKE_BUILD_TYPE STREQUAL "Debug" OR MSVC) find_library( GTESTD_LIB - NAMES libgtestd.a gtest.lib libgtestd.so + NAMES libgtest.a gtest.lib libgtest.so PATHS $ENV{GTEST_DIR} ${GTDIR}/build/lib ${GTDIR}/build/lib/Debug) target_link_libraries(Test debug ${GTESTD_LIB}) endif() diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 78f369a23..0789c8d4c 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -1,28 +1,14 @@ { "input": { - "compute_modules" : ["pvwattsv8", "windpower", "battery", "grid", "utilityrate5", "singleowner"], + "compute_modules": [ + "pvwattsv8", + "windpower", + "battery", + "grid", + "utilityrate5", + "singleowner" + ], "pvwattsv8": { - "ac_nameplate": 13.6364, - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], "albedo": [ 0.2, 0.2, @@ -38,133 +24,19 @@ 0.2 ], "analysis_period": 30.0, - "annual_albedo": 0.183951, - "annual_beam": 7.33564, - "annual_diffuse": 1.34843, - "annual_global": 5.79476, - "annual_snow": "nan", - "annual_tdry": 21.9385, - "annual_wspd": 1.78648, "array_type": 0.0, "azimuth": 180.0, "batt_simple_enable": 0.0, "bifaciality": 0.0, - "bos_equip_fixed": 0.0, - "bos_equip_perarea": 0.0, - "bos_equip_perwatt": 0.0, - "bos_equip_total": 0.0, - "capex_table_ac_cost_per_w": 0.0, - "capex_table_ac_inputs": [ - [ - 0.0 - ] - ], - "capex_table_cost_per_w": 0.45, - "capex_table_dc_inputs": [ - [ - 2.0, - 0.35 - ], - [ - 5.0, - 0.4 - ], - [ - 8.0, - 0.45 - ] - ], - "capex_table_land_cost_per_acre": 0.0, - "capex_table_land_inputs": [ - [ - 0.0 - ] - ], - "capex_table_total_installed_ac_cost": 0.0, - "capex_table_total_installed_dc_cost": 6750.0, - "capex_table_total_installed_land_cost": 0.0, - "city": "-", - "contingency": 0.0, - "contingency_percent": 0.0, - "country": "-", "dc_ac_ratio": 1.1, "degradation": [ 0.0 ], - "elev": 358.0, "en_snowloss": 0.0, - "en_user_spec_losses": 0.0, - "engr_fixed": 0.0, - "engr_per_watt": 0.0, - "engr_percent": 0.0, - "engr_total": 0.0, - "file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "gcr": 0.4, - "grid_fixed": 0.0, - "grid_per_watt": 0.0, - "grid_percent": 0.0, - "grid_total": 0.0, - "in_location": "Type a location name, street address, or lat,lon in decimal degrees", - "in_location_list": "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", - "in_location_options": 0.0, - "in_nsrdb_options": 0.0, - "in_time_step": 0.0, - "inflation_rate": 2.5, - "install_labor_fixed": 0.0, - "install_labor_perarea": 0.0, - "install_labor_perwatt": 0.0, - "install_labor_total": 0.0, - "install_margin_fixed": 0.0, - "install_margin_perarea": 0.0, - "install_margin_perwatt": 0.0, - "install_margin_total": 0.0, - "installed_per_capacity": 5.48545, "inv_eff": 96.0, - "inverter_costunits": 0.0, - "inverter_num_units": 1.0, - "inverter_power": 13.6364, - "inverter_total": 9681.82, - "inverterarray_power": 13.6364, - "inverterarray_power_w": 13636.4, - "is_advanced": 0.0, - "land_area": 0.0, - "land_area_capex": 0.0724636, - "land_area_value": 0.0724636, - "land_fixed": 0.0, - "land_per_acre": 0.0, - "land_per_watt": 0.0, - "land_percent": 0.0, - "land_total": 0.0, - "landprep_fixed": 0.0, - "landprep_per_acre": 0.0, - "landprep_per_watt": 0.0, - "landprep_percent": 0.0, - "landprep_total": 0.0, - "lat": 33.45, - "library_folder_list": "x", - "library_paths": "", - "lon": -111.98, - "loss_age": 0.0, - "loss_avail": 3.0, - "loss_conn": 0.5, - "loss_lid": 1.5, - "loss_mismatch": 2.0, - "loss_nameplate": 1.0, - "loss_shading": 3.0, - "loss_snow": 0.0, - "loss_soiling": 2.0, - "loss_wiring": 2.0, "losses": 14.0757, - "losses_user": 14.0, - "module_costunits": 0.0, - "module_is_bifacial": 0.0, - "module_num_units": 1.0, - "module_power": 15.0, - "module_total": 72600.0, "module_type": 0.0, - "modulearray_area": 78.9474, - "modulearray_power": 15.0, - "modulearray_power_w": 15000.0, "om_capacity": [ 50.0 ], @@ -173,32 +45,10 @@ 0.0 ], "om_fixed_escal": 0.0, - "om_land_lease": [ - 0.0 - ], - "om_land_lease_escal": 0.0, "om_production": [ 0.0 ], "om_production_escal": 0.0, - "per_inverter": 0.71, - "per_module": 4.84, - "permitting_fixed": 0.0, - "permitting_per_watt": 0.0, - "permitting_percent": 0.0, - "permitting_total": 0.0, - "pv_capex_cost_choice": 0.0, - "pv_land_area_is_shown": 0.0, - "pvwatts.advanced.is_shown": 0.0, - "sales_tax_percent": 100.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sales_tax_value": 0.0, - "shading": 0.0, - "shading_3d_scene": { - "VV_TYPE": 6, - "DATA": "" - }, "shading_azal": [ [ 0.0 @@ -235,98 +85,25 @@ 0.0, 0.0 ], - "solar_data_file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_file_name_load": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_source": "NSRDB", - "solar_resource": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "state": "-", - "station_id": "78208", - "step": 3600.0, - "subtotal_direct": 82281.8, "system_capacity": 15.0, "system_use_lifetime_output": 0.0, "tilt": 42.82, - "total_direct_cost": 82281.8, - "total_indirect_cost": 0.0, "total_installed_cost": 82281.8, - "total_land_area": 0.0724636, - "total_module_area": 78.9474, - "tz": -7.0, - "ui_ac_capacity": -999.0, - "ui_array_land_area_acres": 0.0724636, - "ui_array_land_area_ha": 0.029325, - "ui_array_land_area_multiplier": 1.0, - "ui_land_area_additional": 0.0, - "ui_land_area_additional_units": 0.0, - "ui_land_area_ha": 0.0, - "ui_land_area_multiplier": 0.0, - "ui_land_area_per_mw": 0.0, - "ui_land_area_units": 0.0, - "ui_land_lease": [ - 0.0 - ], - "ui_step_minutes": 60.0, - "ui_total_land_area_ha": 0.029325, - "ui_total_module_area": 78.9474, - "ui_total_module_area_acres": 0.0195083, - "ui_total_module_area_ha": 0.00789474, - "ui_use_acre_per_mw": 0.0, - "use_specific_weather_file": 0.0, - "use_wf_albedo": 1.0, - "user_specified_constant_albedo": 0.2, - "user_specified_weather_file": "", - "wf_nrecords": 8760.0 + "use_wf_albedo": 1.0 }, "windpower": { - "a_error_test_number": 17.345, - "a_error_test_string": "\"default err msg\"", - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "analysis_period": 30.0, "avail_bop_loss": 0.0, "avail_grid_loss": 0.0, - "avail_loss_total": 0.0, "avail_turb_loss": 0.0, - "bos_cost_fixed": 0.0, - "bos_cost_per_kw": 0.0, - "bos_cost_per_turbine": 0.0, - "bos_cost_total": 0.0, - "cols": 1.0, "degradation": [ 0.0 ], - "desired_farm_size": 10.0, "elec_eff_loss": 0.0, - "elec_loss_total": 0.0, "elec_parasitic_loss": 0.0, "env_degrad_loss": 0.0, "env_env_loss": 0.0, "env_exposure_loss": 0.0, "env_icing_loss": 0.0, - "env_loss_total": 0.0, - "est_bos_cost": 0.0, - "est_turbine_cost": 0.0, - "inflation_rate": 2.5, - "install_type": 0.0, "om_capacity": [ 50.0 ], @@ -342,108 +119,36 @@ "ops_env_loss": 0.0, "ops_grid_loss": 0.0, "ops_load_loss": 0.0, - "ops_loss_total": 0.0, "ops_strategies_loss": 0.0, - "reference_capacity": 1.0, - "reference_number_turbines": 1.0, - "reference_resource_file": "WY Southern-Flat Lands.srw", - "reference_sales_tax_percent": 0.0, - "resource_definition_type": 0.0, - "rows": 1.0, - "sales_tax_basis": 100.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sizing_warning": 0.0, - "specify_label": 1.0, "system_capacity": 1.0, - "system_use_lifetime_output": 0.0, "total_installed_cost": 4000.0, - "total_installed_cost_per_kw": 4000.0, "turb_generic_loss": 0.0, "turb_hysteresis_loss": 0.0, - "turb_loss_total": 0.0, "turb_perf_loss": 0.0, "turb_specific_loss": 0.0, - "turbine_cost_fixed": 0.0, - "turbine_cost_per_kw": 4000.0, - "turbine_cost_per_turbine": 0.0, - "turbine_cost_total": 4000.0, - "use_specific_wf_wind": 0.0, - "user_specified_wf_wind": " ", "wake_ext_loss": 0.0, "wake_future_loss": 0.0, "wake_int_loss": 0.0, - "wake_loss": 0.0, - "wake_loss_total": 0.0, "weibull_k_factor": 2.0, "weibull_reference_height": 50.0, "weibull_wind_speed": 7.25, - "wind.turbine.blade_design": 0.0, - "wind.turbine.drive_train": 0.0, - "wind.turbine.dummy": 0.0, - "wind.turbine.elevation": 0.0, - "wind.turbine.max_tip_speed": 80.0, - "wind.turbine.max_tspeed_ratio": 8.0, - "wind.turbine.name_only": "0", - "wind.turbine.radio_list_or_design": 0.0, - "wind.turbine.region2nhalf_slope": 5.0, - "wind.turbine.tower_design": 0.0, - "wind_climate.avg_wind_speed_closest_to_hub_ht": 0.0, - "wind_climate.msg": "", - "wind_climate.msg_is_error": 0.0, - "wind_climate.url_info": "empty", - "wind_farm_num_turbines": 1.0, - "wind_farm_sizing_mode": 2.0, "wind_farm_wake_model": 0.0, - "wind_farm_xCoord_file": [ - 0.0 - ], "wind_farm_xCoordinates": [ 0.0 ], - "wind_farm_yCoord_file": [ - 0.0 - ], "wind_farm_yCoordinates": [ 0.0 ], - "wind_resource.city": "city??", - "wind_resource.closest_dir_meas_ht": 80.0, - "wind_resource.closest_speed_meas_ht": 80.0, - "wind_resource.country": "USA", - "wind_resource.description": "Southern WY - flat lands (NREL AWS Truepower representative file)", - "wind_resource.elev": 2088.0, - "wind_resource.file": "WY Southern-Flat Lands.srw", - "wind_resource.lat": 0.0, - "wind_resource.lat_requested": 0.0, - "wind_resource.location": "WY Southern-Flat Lands", - "wind_resource.location_id": "loc_id", - "wind_resource.lon": 0.0, - "wind_resource.lon_requested": 0.0, - "wind_resource.requested_ht": 80.0, - "wind_resource.state": "WY", - "wind_resource.year": 1900.0, "wind_resource_distribution": [ [ 0.0 ] ], - "wind_resource_filename": "WY Southern-Flat Lands.srw", "wind_resource_model_choice": 0.0, "wind_resource_shear": 0.14, "wind_resource_turbulence_coeff": 0.1, - "wind_turbine_IEC_Class_from_lib": "0", - "wind_turbine_cut_out": 25.0, - "wind_turbine_cutin": 4.0, "wind_turbine_hub_ht": 80.0, - "wind_turbine_kw_rating": 1.0, - "wind_turbine_kw_rating_from_lib": 1.0, - "wind_turbine_kw_rating_input": 1500.0, "wind_turbine_max_cp": 0.45, - "wind_turbine_powercurve_err_msg": "", - "wind_turbine_powercurve_hub_efficiency": [ - 0.0 - ], "wind_turbine_powercurve_powerout": [ 0.0, 0.0, @@ -461,23 +166,6 @@ 0.0, 0.0 ], - "wind_turbine_powercurve_powerout_from_lib": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], "wind_turbine_powercurve_windspeeds": [ 0.0, 3.0, @@ -495,61 +183,20 @@ 23.0, 40.0 ], - "wind_turbine_powercurve_windspeeds_from_lib": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_rated_wind_speed": 1.0, - "wind_turbine_rotor_diameter": 2.5, - "wind_turbine_rotor_diameter_from_lib": 2.5, - "wind_turbine_rotor_diameter_input": 75.0, - "wind_turbine_selection": "Bergey BWC XL.1", - "windfarm.farm.layout_angle": 33.0, - "windfarm.farm.layout_slider": 33.0, - "windfarm.farm.number_of_rows": 1.0, - "windfarm.farm.offset": 0.0, - "windfarm.farm.offset_type": 0.0, - "windfarm.farm.row_spacing": 8.0, - "windfarm.farm.shape": 0.0, - "windfarm.farm.turbine_spacing": 8.0, - "windfarm.farm.turbines_per_row": 1.0, - "windfarm.layout.file_or_controls": 1.0 + "wind_turbine_rotor_diameter": 2.5 }, "battery": { - "LeadAcid_q10": 93.0, "LeadAcid_q10_computed": 18.6, - "LeadAcid_q20": 100.0, "LeadAcid_q20_computed": 20.0, - "LeadAcid_qn": 60.0, "LeadAcid_qn_computed": 12.0, "LeadAcid_tn": 1.0, - "add_om_num_types": 1.0, "analysis_period": 30.0, "batt_C_rate": 0.1, - "batt_C_rate_max_charge": 1.2, - "batt_C_rate_max_charge_input": 0.5, - "batt_C_rate_max_discharge": 1.2, - "batt_C_rate_max_discharge_input": 0.5, "batt_Cp": 4183.0, "batt_Qexp": 0.05, - "batt_Qexp_percent": 1.0, "batt_Qfull": 5.0, "batt_Qfull_flow": 20.0, "batt_Qnom": 4.75, - "batt_Qnom_percent": 95.0, "batt_Vcut": 1.452, "batt_Vexp": 2.05, "batt_Vfull": 2.2, @@ -557,17 +204,6 @@ "batt_Vnom_default": 3.2, "batt_ac_dc_efficiency": 99.0, "batt_ac_or_dc": 1.0, - "batt_bank_duration": 0.0, - "batt_bank_ncells_serial": 3.0, - "batt_bank_nseries_stacks": 1.0, - "batt_bank_nstrings": 1.0, - "batt_bank_power": 12.0, - "batt_bank_power_dc_ac": 0.0, - "batt_bank_size": 10.0, - "batt_bank_size_dc_ac": 0.0, - "batt_bank_size_specify": 100.0, - "batt_bank_size_ui": 10.0, - "batt_bank_voltage": 450.0, "batt_calendar_a": 0.00266, "batt_calendar_b": -7280.0, "batt_calendar_c": 939.0, @@ -587,16 +223,10 @@ ] ], "batt_calendar_q0": 1.02, - "batt_cell_current_charge_max": 10.0, - "batt_cell_current_discharge_max": 10.0, - "batt_cell_power_charge_max": 2.0, - "batt_cell_power_discharge_max": 2.0, "batt_chem": 0.0, "batt_computed_bank_capacity": 9.024, "batt_computed_series": 141.0, - "batt_computed_stacks_series": 0.0, "batt_computed_strings": 4.0, - "batt_computed_voltage": 451.2, "batt_current_charge_max": 24.0, "batt_current_choice": 1.0, "batt_current_discharge_max": 24.0, @@ -609,55 +239,31 @@ "batt_cycle_cost_choice": 0.0, "batt_dc_ac_efficiency": 99.0, "batt_dc_dc_efficiency": 99.0, - "batt_discharge_percent_1": 25.0, - "batt_discharge_percent_2": 25.0, - "batt_discharge_percent_3": 25.0, - "batt_discharge_percent_4": 25.0, - "batt_discharge_percent_5": 25.0, - "batt_discharge_percent_6": 25.0, "batt_dispatch_auto_can_charge": 0.0, "batt_dispatch_auto_can_clipcharge": 0.0, "batt_dispatch_auto_can_fuelcellcharge": 0.0, "batt_dispatch_auto_can_gridcharge": 0.0, "batt_dispatch_choice": 3.0, - "batt_dispatch_choice_ui": 3.0, - "batt_dispatch_excl": 3.0, "batt_dispatch_pvs_ac_lb": -0.1, "batt_dispatch_pvs_ac_lb_enable": 0.0, "batt_dispatch_pvs_ac_ub": 1.05, "batt_dispatch_pvs_ac_ub_enable": 0.0, - "batt_dispatch_pvs_battery_energy": 9.024, - "batt_dispatch_pvs_battery_power": 10.9382, - "batt_dispatch_pvs_battery_rte": 98.01, "batt_dispatch_pvs_curtail_as_control": 0.0, "batt_dispatch_pvs_curtail_if_violation": 0.0, "batt_dispatch_pvs_forecast_shift_periods": 3.0, - "batt_dispatch_pvs_interconnection_limit": 0.0, "batt_dispatch_pvs_kf": 0.3, "batt_dispatch_pvs_ki": 1.8, "batt_dispatch_pvs_kp": 1.2, "batt_dispatch_pvs_max_ramp": 10.0, - "batt_dispatch_pvs_nameplate": 15.0, "batt_dispatch_pvs_nameplate_ac": 15.0, - "batt_dispatch_pvs_ramp_interval": 180.0, "batt_dispatch_pvs_short_forecast_enable": 0.0, "batt_dispatch_pvs_soc_rest": 50.0, "batt_dispatch_pvs_timestep_multiplier": 3.0, - "batt_dispatch_pvs_wf_forecast_choice": 0.0, - "batt_dispatch_pvs_wf_timestep": 60.0, "batt_dispatch_update_frequency_hours": 1.0, "batt_dispatch_wf_forecast_choice": 0.0, - "batt_duration_choice": 0.0, - "batt_gridcharge_percent_1": 100.0, - "batt_gridcharge_percent_2": 100.0, - "batt_gridcharge_percent_3": 100.0, - "batt_gridcharge_percent_4": 100.0, - "batt_gridcharge_percent_5": 100.0, - "batt_gridcharge_percent_6": 100.0, "batt_h_to_ambient": 5.0, "batt_initial_SOC": 50.0, "batt_inverter_efficiency_cutoff": 90.0, - "batt_life_excl": 0.0, "batt_life_model": 0.0, "batt_lifetime_matrix": [ [ @@ -725,7 +331,6 @@ "batt_meter_position": 1.0, "batt_minimum_SOC": 10.0, "batt_minimum_modetime": 10.0, - "batt_num_cells": 564.0, "batt_power_charge_max_kwac": 10.9382, "batt_power_charge_max_kwdc": 10.8288, "batt_power_discharge_max_kwac": 10.7205, @@ -733,16 +338,9 @@ "batt_pv_ac_forecast": [ 0.0 ], - "batt_pv_ac_forecast_fom_auto": [ - 0.0 - ], "batt_pv_clipping_forecast": [ 0.0 ], - "batt_pvs_pv_ac_forecast": [ - 0.0 - ], - "batt_pvs_user_specified_weather_file": "", "batt_replacement_capacity": 0.0, "batt_replacement_option": 0.0, "batt_replacement_schedule_percent": [ @@ -9511,24 +9109,7 @@ 20.0, 20.0 ], - "batt_room_temperature_single": 20.0, - "batt_room_temperature_vector": [ - 0.0 - ], - "batt_size_choice": 0.0, - "batt_specific_energy_per_mass": 150.0, - "batt_specific_energy_per_volume": 300.0, "batt_surface_area": 0.580323, - "batt_thermal_choice": 0.0, - "batt_time_capacity": 0.833333, - "batt_type": 0.0, - "batt_ui_bank_voltage": 451.2, - "batt_ui_cell_voltage": 3.2, - "batt_ui_inverter_eff": 96.0, - "batt_ui_nominal_bank_power": 10.8288, - "batt_unit_capacity": 400.0, - "batt_unit_surface_area": 30.0, - "batt_user_specified_weather_file": "", "batt_voltage_choice": 0.0, "batt_voltage_matrix": [ [ @@ -9556,17 +9137,6 @@ 0.5 ] ], - "batt_volume": 0.03008, - "battery_energy": 9.024, - "battery_indirect_cost_percent": 0.0, - "battery_losses_shown": 0.0, - "battery_per_kW": 0.0, - "battery_per_kWh": 10.0, - "battery_power": 10.8288, - "battery_thermal_shown": 0.0, - "battery_total": 90.24, - "battery_total_cost_lcos": 90.24, - "battery_voltage_shown": 0.0, "cap_vs_temp": [ [ -15.0, @@ -9585,7 +9155,6 @@ 104.0 ] ], - "compute_as_cube": 1.0, "dispatch_manual_btm_discharge_to_grid": [ 0.0, 0.0, @@ -10897,21 +10466,6 @@ "en_batt": 1.0, "en_standalone_batt": 0.0, "en_wave_batt": 0.0, - "genericsys.cost.contingency": 0.0, - "genericsys.cost.contingency_percent": 0.0, - "genericsys.cost.epc.fixed": 0.0, - "genericsys.cost.epc.nonfixed": 0.0, - "genericsys.cost.epc.percent": 0.0, - "genericsys.cost.epc.total": 0.0, - "genericsys.cost.installed_per_capacity": 0.00841751, - "genericsys.cost.per_watt": 0.0, - "genericsys.cost.plm.fixed": 0.0, - "genericsys.cost.plm.nonfixed": 0.0, - "genericsys.cost.plm.percent": 0.0, - "genericsys.cost.plm.total": 0.0, - "genericsys.cost.sales_tax.percent": 100.0, - "genericsys.cost.sales_tax.total": 0.0, - "genericsys.cost.sales_tax.value": 0.0, "grid_interconnection_limit_kwac": 20000.0, "inflation_rate": 2.5, "om_batt_capacity_cost": [ @@ -10936,53 +10490,12 @@ "ppa_price_input": [ 0.0 ], - "pv.storage.p1.charge": 1.0, - "pv.storage.p1.discharge": 0.0, - "pv.storage.p1.dischargetogrid": 0.0, - "pv.storage.p1.gridcharge": 0.0, - "pv.storage.p2.charge": 1.0, - "pv.storage.p2.discharge": 0.0, - "pv.storage.p2.dischargetogrid": 0.0, - "pv.storage.p2.gridcharge": 1.0, - "pv.storage.p3.charge": 1.0, - "pv.storage.p3.discharge": 1.0, - "pv.storage.p3.dischargetogrid": 0.0, - "pv.storage.p3.gridcharge": 0.0, - "pv.storage.p4.charge": 0.0, - "pv.storage.p4.discharge": 0.0, - "pv.storage.p4.dischargetogrid": 0.0, - "pv.storage.p4.gridcharge": 0.0, - "pv.storage.p5.charge": 0.0, - "pv.storage.p5.discharge": 0.0, - "pv.storage.p5.dischargetogrid": 0.0, - "pv.storage.p5.gridcharge": 0.0, - "pv.storage.p6.charge": 0.0, - "pv.storage.p6.discharge": 0.0, - "pv.storage.p6.dischargetogrid": 0.0, - "pv.storage.p6.gridcharge": 0.0, - "pv_system_capacity": 15.0, - "sales_tax_rate": 0.0, - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "system_capacity": 10.7205, - "total_direct_cost": 90.24, - "total_indirect_cost": 0.0, - "total_installed_cost": 90.24, - "ui_batt_life_model": 0.0, - "ui_copy_batt_discharge_percent_1": 25.0, - "ui_copy_batt_discharge_percent_2": 25.0, - "ui_copy_batt_discharge_percent_3": 25.0, - "ui_copy_batt_discharge_percent_4": 25.0, - "ui_copy_batt_discharge_percent_5": 25.0, - "ui_copy_batt_discharge_percent_6": 25.0, - "ui_step_minutes": 60.0 + "total_installed_cost": 90.24 }, "Hybrid": { "analysis_period": 30.0, - "analysis_period_warning": "", "batt_replacement_option": 0.0, "batt_salvage_percentage": 0.0, - "batt_salvage_value": 0.0, - "battery_system_capacity": 10.7205, "battery_total_installed_cost": 90.24, "cbi_fed_amount": 0.0, "cbi_fed_deprbas_fed": 0.0, @@ -11008,50 +10521,6 @@ "cbi_uti_maxvalue": 0.0, "cbi_uti_tax_fed": 1.0, "cbi_uti_tax_sta": 1.0, - "chk_update_peaks": 0.0, - "const_per_interest1": 0.0, - "const_per_interest2": 0.0, - "const_per_interest3": 0.0, - "const_per_interest4": 0.0, - "const_per_interest5": 0.0, - "const_per_interest_rate1": 0.0, - "const_per_interest_rate2": 0.0, - "const_per_interest_rate3": 0.0, - "const_per_interest_rate4": 0.0, - "const_per_interest_rate5": 0.0, - "const_per_interest_total": 0.0, - "const_per_months1": 0.0, - "const_per_months2": 0.0, - "const_per_months3": 0.0, - "const_per_months4": 0.0, - "const_per_months5": 0.0, - "const_per_name1": "Loan 1", - "const_per_name2": "Loan 2", - "const_per_name3": "Loan 3", - "const_per_name4": "Loan 4", - "const_per_name5": "Loan 5", - "const_per_percent1": 0.0, - "const_per_percent2": 0.0, - "const_per_percent3": 0.0, - "const_per_percent4": 0.0, - "const_per_percent5": 0.0, - "const_per_percent_total": 0.0, - "const_per_principal1": 0.0, - "const_per_principal2": 0.0, - "const_per_principal3": 0.0, - "const_per_principal4": 0.0, - "const_per_principal5": 0.0, - "const_per_principal_total": 0.0, - "const_per_total1": 0.0, - "const_per_total2": 0.0, - "const_per_total3": 0.0, - "const_per_total4": 0.0, - "const_per_total5": 0.0, - "const_per_upfront_rate1": 0.0, - "const_per_upfront_rate2": 0.0, - "const_per_upfront_rate3": 0.0, - "const_per_upfront_rate4": 0.0, - "const_per_upfront_rate5": 0.0, "construction_financing_cost": 0.0, "cost_debt_closing": 0.0, "cost_debt_fee": 0.0, @@ -11066,13 +10535,11 @@ "cp_capacity_payment_esc": 0.0, "cp_capacity_payment_type": 0.0, "cp_system_nameplate": 0.0267205, - "debt_message": "", "debt_option": 1.0, "debt_percent": 50.0, "depr_alloc_custom_percent": 0.0, "depr_alloc_macrs_15_percent": 0.0, "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_none": 0.0, "depr_alloc_sl_15_percent": 0.0, "depr_alloc_sl_20_percent": 0.0, "depr_alloc_sl_39_percent": 0.0, @@ -11112,7 +10579,6 @@ "depr_itc_sta_sl_39": 0.0, "depr_itc_sta_sl_5": 0.0, "depr_stabas_method": 1.0, - "dispatch_data_filename": "", "dispatch_factors_ts": [ 0.0, 0.7, @@ -28687,10 +28153,6 @@ ], "grid_curtailment_price_esc": 0.0, "grid_interconnection_limit_kwac": 20000.0, - "hybrid_capital_cost": 0.0, - "hybrid_om_capacity_cost": 0.0, - "hybrid_om_fixed_cost": 0.0, - "ibi_fed_amount": 0.0, "ibi_fed_amount_deprbas_fed": 0.0, "ibi_fed_amount_deprbas_sta": 0.0, "ibi_fed_amount_tax_fed": 1.0, @@ -28736,7 +28198,6 @@ "ibi_uti_percent_tax_sta": 1.0, "inflation_rate": 2.5, "insurance_rate": 1.0, - "is_btm": 0.0, "itc_fed_amount": [ 0.0 ], @@ -28763,16 +28224,161 @@ "itc_sta_percent_maxvalue": [ 1.0 ], - "lib_dispatch_factor1": 1.0, - "lib_dispatch_factor2": 1.0, - "lib_dispatch_factor3": 1.0, - "lib_dispatch_factor4": 1.0, - "lib_dispatch_factor5": 1.0, - "lib_dispatch_factor6": 1.0, - "lib_dispatch_factor7": 1.0, - "lib_dispatch_factor8": 1.0, - "lib_dispatch_factor9": 1.0, - "lib_dispatch_sched_weekday": [ + "payment_option": 0.0, + "pbi_fed_amount": [ + 0.0 + ], + "pbi_fed_escal": 0.0, + "pbi_fed_for_ds": 0.0, + "pbi_fed_tax_fed": 1.0, + "pbi_fed_tax_sta": 1.0, + "pbi_fed_term": 0.0, + "pbi_oth_amount": [ + 0.0 + ], + "pbi_oth_escal": 0.0, + "pbi_oth_for_ds": 0.0, + "pbi_oth_tax_fed": 1.0, + "pbi_oth_tax_sta": 1.0, + "pbi_oth_term": 0.0, + "pbi_sta_amount": [ + 0.0 + ], + "pbi_sta_escal": 0.0, + "pbi_sta_for_ds": 0.0, + "pbi_sta_tax_fed": 1.0, + "pbi_sta_tax_sta": 1.0, + "pbi_sta_term": 0.0, + "pbi_uti_amount": [ + 0.0 + ], + "pbi_uti_escal": 0.0, + "pbi_uti_for_ds": 0.0, + "pbi_uti_tax_fed": 1.0, + "pbi_uti_tax_sta": 1.0, + "pbi_uti_term": 0.0, + "ppa_escalation": 1.0, + "ppa_multiplier_model": 0.0, + "ppa_price_input": [ + 0.1 + ], + "ppa_soln_mode": 1.0, + "prop_tax_assessed_decline": 0.0, + "prop_tax_cost_assessed_percent": 100.0, + "property_tax_rate": 1.0, + "ptc_fed_amount": [ + 0.0 + ], + "ptc_fed_escal": 0.0, + "ptc_fed_term": 10.0, + "ptc_sta_amount": [ + 0.0 + ], + "ptc_sta_escal": 0.0, + "ptc_sta_term": 10.0, + "pv_total_installed_cost": 82281.8, + "rate_escalation": [ + 0.0 + ], + "real_discount_rate": 5.5, + "reserves_interest": 1.75, + "salvage_percentage": 10.0, + "state_tax_rate": [ + 7.0 + ], + "system_capacity": 26.7205, + "term_int_rate": 0.0, + "term_tenor": 0.0, + "total_installed_cost": 86372.0, + "ur_annual_min_charge": 0.0, + "ur_billing_demand_lookback_percentages": [ + [ + 0.0 + ] + ], + "ur_billing_demand_lookback_period": 0.0, + "ur_billing_demand_minimum": 0.0, + "ur_dc_billing_demand_periods": [ + [ + 0.0 + ] + ], + "ur_dc_enable": 0.0, + "ur_dc_flat_mat": [ + [ + 0.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 1.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 2.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 3.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 4.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 5.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 6.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 7.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 8.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 9.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 10.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 11.0, + 1.0, + 1e+38, + 0.0 + ] + ], + "ur_dc_sched_weekday": [ [ 1.0, 1.0, @@ -29086,7 +28692,7 @@ 1.0 ] ], - "lib_dispatch_sched_weekend": [ + "ur_dc_sched_weekend": [ [ 1.0, 1.0, @@ -29400,849 +29006,20 @@ 1.0 ] ], - "loan_moratorium": 0.0, - "mera_cost1": 0.0, - "mera_cost2": 0.0, - "mera_cost3": 0.0, - "mera_name1": "Replacement Reserve 1", - "mera_name2": "Replacement Reserve 2", - "mera_name3": "Replacement Reserve 3", - "months_receivables_reserve": 0.0, - "months_working_reserve": 6.0, - "nominal_discount_rate": 8.1375, - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 + "ur_dc_tou_mat": [ + [ + 1.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 2.0, + 1.0, + 1e+38, + 0.0 + ] ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.1 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_assessed_value": 86372.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "pv_system_capacity": 15.0, - "pv_total_installed_cost": 82281.8, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "revenue_TOD_is_shown": 1.0, - "revenue_capacity_payments_is_shown": 0.0, - "revenue_curtailment_is_shown": 0.0, - "sales_tax_rate": 0.0, - "salvage_percentage": 10.0, - "salvage_value": 8637.2, - "show_CapitalCostSO": 0.0, - "show_DebtConstDSCR": 1.0, - "show_ReserveAccounts": 1.0, - "show_construction_period": 1.0, - "solution_mode_message": "", - "state_tax_rate": [ - 7.0 - ], - "system_capacity": 26.7205, - "term_int_rate": 0.0, - "term_tenor": 0.0, - "tod_library": "Uniform Dispatch", - "total_installed_cost": 86372.0, - "ui_electricity_rate_option": 0.0, - "ui_system_nameplate_standalone": 0.0, - "ui_wacc": 4.06875, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_is_shown": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_cr_sched": [ - [ - 0.0 - ] - ], - "ur_cr_tou_mat": [ - [ - 0.0 - ] - ], - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_dc_is_shown": 1.0, - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_demand_attrs": "", - "ur_demand_history": 0.0, - "ur_demand_max": 0.0, - "ur_demand_min": 0.0, - "ur_demand_reactive_power_charge": 0.0, - "ur_demand_window": 0.0, - "ur_desc_is_shown": 0.0, - "ur_description": "", - "ur_ec_is_shown": 1.0, "ur_ec_sched_weekday": [ [ 1.0, @@ -30899,7 +29676,7 @@ [ 1.0, 4.0, - 1e38, + 1e+38, 2.0, 0.45718, 0.0 @@ -30931,7 +29708,7 @@ [ 2.0, 4.0, - 1e38, + 1e+38, 2.0, 0.34283, 0.0 @@ -30963,7 +29740,7 @@ [ 3.0, 4.0, - 1e38, + 1e+38, 2.0, 0.35231, 0.0 @@ -30995,7 +29772,7 @@ [ 4.0, 4.0, - 1e38, + 1e+38, 2.0, 0.34241, 0.0 @@ -31004,47 +29781,21 @@ "ur_en_ts_buy_rate": 0.0, "ur_en_ts_sell_rate": 0.0, "ur_enable_billing_demand": 0.0, - "ur_end_date": "empty", - "ur_energy_attrs": "", - "ur_energy_history": 0.0, - "ur_energy_max": 0.0, - "ur_energy_min": 0.0, - "ur_fixed_attrs": "", - "ur_fuel_adjustments_monthly": [ - 0.0 - ], - "ur_has_unused_items": 0.0, - "ur_is_default": 0.0, "ur_metering_option": 0.0, "ur_monthly_fixed_charge": 0.0, "ur_monthly_min_charge": 0.0, - "ur_name": "", "ur_nm_credit_month": 0.0, "ur_nm_credit_rollover": 0.0, "ur_nm_yearend_sell_rate": 0.0, - "ur_phase_wiring": "", - "ur_rate_notes": "", - "ur_ratedata_filename": "", - "ur_schedule_name": "empty", - "ur_service_type": "", - "ur_source": "empty", - "ur_start_date": "empty", "ur_ts_buy_rate": [ 0.0 ], "ur_ts_sell_rate": [ 0.0 ], - "ur_unused_is_shown": 0.0, - "ur_uri": "empty", - "ur_utility": "empty", - "ur_voltage_category": "", - "ur_voltage_max": 0.0, - "ur_voltage_min": 0.0, "ur_yearzero_usage_peaks": [ 0.0 ], - "wind_system_capacity": 1.0, "wind_total_installed_cost": 4000.0 } } diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 2b9d636d7..1d73b311b 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -65,19 +65,39 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { EXPECT_FALSE(errors); if (!errors) { - ssc_number_t pvannualenergy, windannualenergy, npv; + int len; + + ssc_number_t pvannualenergy, windannualenergy, battannualenergy, npv; auto outputs = ssc_data_get_table(dat, "output"); + auto inputs = ssc_data_get_table(dat, "input"); auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); + auto pv_inputs = ssc_data_get_table(inputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); EXPECT_NEAR(pvannualenergy, 25970, 25970 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); + auto wind_inputs = ssc_data_get_table(inputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); EXPECT_NEAR(windannualenergy, 5927, 5927 * 0.01); + auto batt_outputs = ssc_data_get_table(outputs, "battery"); + auto batt_inputs = ssc_data_get_table(inputs, "battery"); + ssc_data_get_number(batt_outputs, "annual_energy", &battannualenergy); + EXPECT_NEAR(battannualenergy, 31893, 31893 * 0.01); + auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); + + ssc_number_t value; + + auto ebitda = ssc_data_get_array(hybrid_outputs, "cf_ebitda", &len); + auto revenue = ssc_data_get_array(hybrid_outputs, "cf_total_revenue", &len); + auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); + + EXPECT_NEAR(om_expenses[1], 2527, 1); + EXPECT_NEAR(revenue[1], 3189, 1); + EXPECT_NEAR(ebitda[1], 662, 1); EXPECT_NEAR(npv, -61506, 61506 * 0.001); } ssc_data_free(dat); From 4e55a3ae9cd84ac707419c7605f8259b105ccb04 Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 29 Feb 2024 07:38:54 -0700 Subject: [PATCH 13/24] sales_tax_rate optional --- ssc/cmod_hybrid.cpp | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index cd40bc265..9be906873 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -125,7 +125,10 @@ class cm_hybrid : public compute_module var_data* financial_compute_modules = input_table->table.lookup("hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; - ssc_number_t sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; + ssc_number_t sales_tax_rate = 0; + if (financial_compute_modules->table.is_assigned("sales_tax_rate")){ + sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; + } for (size_t i = 0; i < vec_cms.size(); i++) { std::string computemodulename = vec_cms[i].str; From ef8311246df9d21ca16e6e99372f9958515fb50a Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 29 Feb 2024 08:32:33 -0700 Subject: [PATCH 14/24] add checks for existing var_info --- ssc/cmod_hybrid.cpp | 2 +- ssc/core.cpp | 17 +++++++++++++++++ ssc/core.h | 3 ++- ssc/sscapi.cpp | 20 +++++++++++++------- 4 files changed, 33 insertions(+), 9 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 9be906873..da543dbe3 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -242,7 +242,7 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMLandLease, analysisPeriod, "om_land_lease", inflation_rate, total_land_area, false, input.as_double("om_land_lease_escal") * 0.01); } // optional fossil fuel costs - if (compute_module_inputs->table.lookup("system_heat_rate")) { + if (compute_module_inputs->table.lookup("om_fuel_cost") && compute_module_inputs->table.lookup("system_heat_rate") && compute_module_inputs->table.lookup("annual_fuel_usage")) { ssc_number_t* pOMFuelCost = ((var_table*)compute_module_outputs)->allocate("cf_om_fuel_cost", analysisPeriod + 1); ssc_number_t system_heat_rate = compute_module_inputs->table.lookup("system_heat_rate")->num; ssc_number_t year1_fuel_use = ((var_table*)compute_module_outputs)->as_double("annual_fuel_usage"); // kWht diff --git a/ssc/core.cpp b/ssc/core.cpp index 7e883da2b..7460dec3f 100644 --- a/ssc/core.cpp +++ b/ssc/core.cpp @@ -396,6 +396,23 @@ compute_module::log_item *compute_module::log(int index) { return NULL; } +bool compute_module::has_info(const std::string &name) { + // if there is an info lookup table, use it + if (m_infomap != NULL) { + unordered_map::iterator pos = m_infomap->find(name); + if (pos != m_infomap->end()) + return true; + } + + // otherwise search + std::vector::iterator it; + for (it = m_varlist.begin(); it != m_varlist.end(); ++it) { + if ((*it)->name == name) + return true; + } + return false; +} + var_info *compute_module::info(int index) { if (index >= 0 && index < (int) m_varlist.size()) return m_varlist[index]; diff --git a/ssc/core.h b/ssc/core.h index 66be4c0fd..289eaefa7 100644 --- a/ssc/core.h +++ b/ssc/core.h @@ -189,7 +189,8 @@ class compute_module void clear_log(); log_item *log(int index); var_info *info(int index); - + bool has_info(const std::string &name); + virtual bool compute( handler_interface *handler, var_table *data ); diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index a89589c9e..8cf510c1f 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -1330,12 +1330,14 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) for (size_t i=0; i<35; i++){ if (vtab_oandm_hybrid[i].var_type == SSC_INVALID) break; - + std::string name = std::string(vtab_oandm_hybrid[i].name); std::string meta = std::string(vtab_oandm_hybrid[i].meta); if (!meta.size()){ // if no meta description on variable, apply it to all technologies - vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; - copy_counter++; + if (!cmod->has_info(name)) { + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + } continue; } @@ -1359,8 +1361,10 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) } } if (!restricted) { - vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; - copy_counter++; + if (!cmod->has_info(name)){ + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + } continue; } } @@ -1368,8 +1372,10 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) // apply allowlist for (std::string token:tokens){ if (cmod_name == token){ - vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; - copy_counter++; + if (!cmod->has_info(name)){ + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + } break; } } From de1a3a0e67196ab695095434ecd9a22831b275ec Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Fri, 1 Mar 2024 05:36:05 -0700 Subject: [PATCH 15/24] JSON code generator test now fails with patch branch. Code generation for hybrids limited to only compute module variables. --- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 629 +---------- ...ry_Hybrid_Single_Owner_all_SAM_inputs.json | 976 ++++++++++++++++++ 2 files changed, 1017 insertions(+), 588 deletions(-) create mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json index 25448e6a0..f370714c3 100644 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -2,672 +2,232 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "windpower" : { - "step" : 0, - "total_installed_cost_per_kw" : 1460.1600000000001, + "turb_specific_loss" : 0.81000000000000005, "wind_resource_distribution" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "bos_cost_total" : 69552000, + "avail_bop_loss" : 0.5, "weibull_wind_speed" : 7.25, - "bos_cost_per_kw" : 347.75999999999999, - "wind_climate.url_info" : "empty", - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "wind_resource_model_choice" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, "env_degrad_loss" : 1.8, - "wind_climate.msg_is_error" : 0, - "wind.turbine.elevation" : 0, - "wind_resource.requested_ht" : 80, - "degradation" : [ 0 ], + "adjust_en_timeindex" : 0, "weibull_reference_height" : 50, - "wind.turbine.radio_list_or_design" : 0, "avail_grid_loss" : 1.5, - "a_error_test_number" : 17.344999999999999, "wind_turbine_rotor_diameter" : 100, - "use_specific_wf_wind" : 0, - "adjust_en_timeindex" : 0, - "wind_resource.country" : "USA", - "user_specified_wf_wind" : " ", - "wind_resource.elev" : 1829, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "wind_climate.msg" : "", - "wind_resource.closest_speed_meas_ht" : 80, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_resource.city" : "city??", - "wind_resource.closest_dir_meas_ht" : 80, - "wind.turbine.max_tip_speed" : 80, - "wind.turbine.dummy" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_resource.lon_requested" : 0, - "wind_turbine_max_cp" : 0.45000000000000001, - "est_bos_cost" : 0, - "wind_resource_model_choice" : 0, - "resource_definition_type" : 0, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_rated_wind_speed" : 2500, - "wind.turbine.tower_design" : 0, - "windfarm.farm.offset" : 4, - "wind_resource.lat_requested" : 0, - "wind_turbine_kw_rating_input" : 1500, - "wind_resource.lon" : 0, - "wind_farm_sizing_mode" : 2, - "wind_turbine_rotor_diameter_from_lib" : 100, - "wind_resource.lat" : 0, + "turb_perf_loss" : 1.1000000000000001, "wind_turbine_hub_ht" : 80, - "wind_resource.year" : 1900, - "wind_resource.location_id" : "loc_id", - "wind.turbine.name_only" : "0", - "wind.turbine.blade_design" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "wind_resource.state" : "AZ", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "avail_turb_loss" : 3.5800000000000001, "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_per_kw" : 1112.4000000000001, - "wind_turbine_cutin" : 4, - "wind_turbine_kw_rating_from_lib" : 2500, "adjust_constant" : 0, "weibull_k_factor" : 2, - "a_error_test_string" : "\"default err msg\"", - "wind.turbine.drive_train" : 0, - "wind.turbine.region2nhalf_slope" : 5, - "wind_turbine_cut_out" : 25, - "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_err_msg" : "", - "wind_turbine_kw_rating" : 2500, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_selection" : "GE 2.5xl", "wind_farm_wake_model" : 0, - "om_production_escal" : 0, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_loss" : 0, - "desired_farm_size" : 10, - "adjust" : 0, "adjust_en_periods" : 0, - "cols" : 1, "adjust_periods" : [ [ 0, 0, 0 ] ], - "sales_tax_basis" : 0, - "turbine_cost_per_turbine" : 0, "adjust_timeindex" : [ 0 ], - "rows" : 1, - "sizing_warning" : 0, - "specify_label" : 1, "system_capacity" : 200000, - "wind_farm_num_turbines" : 80, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_farm_xcoord_file" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "windfarm.farm.layout_angle" : 0, - "bos_cost_per_turbine" : 0, - "wind_farm_ycoord_file" : [ 0 ], - "analysis_period" : 25, "ops_load_loss" : 0.98999999999999999, - "windfarm.farm.layout_slider" : 33, - "windfarm.farm.number_of_rows" : 10, - "windfarm.farm.offset_type" : 0, - "windfarm.farm.row_spacing" : 8, - "windfarm.layout.file_or_controls" : 1, - "windfarm.farm.shape" : 0, - "windfarm.farm.turbine_spacing" : 8, - "windfarm.farm.turbines_per_row" : 8, - "avail_bop_loss" : 0.5, - "avail_turb_loss" : 3.5800000000000001, - "avail_loss_total" : 5.5011684999999977, "wake_future_loss" : 0, "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, "elec_eff_loss" : 1.9099999999999999, - "elec_loss_total" : 2.0080899999999957, + "turb_generic_loss" : 1.7, "env_env_loss" : 0.40000000000000002, "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, "env_icing_loss" : 0.20999999999999999, - "ops_loss_total" : 2.8034671600000061, - "wake_loss_total" : 1.100000000000001, - "turb_loss_total" : 3.9544963841199987, - "turb_generic_loss" : 1.7, - "env_loss_total" : 2.3981951200000018, "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_strategies_loss" : 0, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "turbine_cost_total" : 222480000.00000003, "wake_ext_loss" : 1.1000000000000001, "wake_int_loss" : 0, - "system_use_lifetime_output" : 0, - "install_type" : 0, - "sales_tax_total" : 0, - "bos_cost_fixed" : 0, - "reference_capacity" : 200000, - "turbine_cost_fixed" : 0, - "est_turbine_cost" : 0, - "reference_number_turbines" : 80, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 292032000, - "om_capacity" : [ 40 ], - "om_capacity_escal" : 0, - "om_fixed" : [ 0 ], - "om_production" : [ 0 ], - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "sales_tax_rate" : 5, - "number table entries" : 150 + "number table entries" : 40 }, "pvwattsv8" : { - "ui_land_area_ha" : 263.15847360564504, - "annual_twet" : "nan", "array_type" : 2, - "use_specific_weather_file" : 0, "dc_ac_ratio" : 1.3, - "in_nsrdb_options" : 0, - "annual_global" : 5.7947616438356171, - "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, "losses" : 14.075660688264469, - "annual_albedo" : 0.18395068539332038, "shading_azal" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "module_total" : 39000000, - "annual_beam" : 7.3356438356164393, - "state" : "-", - "annual_diffuse" : 1.3484328767123288, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "inverter_total" : 5000000, - "country" : "-", "adjust_en_periods" : 0, - "loss_snow" : 0, - "ui_array_land_area_acres" : 650.27774620322919, - "inv_eff" : 96, - "ui_total_module_area_ha" : 52.631578947368418, - "in_time_step" : 0, - "shading_en_diff" : 0, - "annual_snow" : "nan", - "module_costunits" : 0, - "loss_lid" : 1.5, - "loss_soiling" : 2, - "library_folder_list" : "x", - "landprep_fixed" : 0, - "annual_tdry" : 21.938470319634703, - "loss_mismatch" : 2, - "permitting_fixed" : 0, "shading_string_option" : 0, - "total_land_area" : 650.27774620322919, - "solar_data_source" : "NSRDB", - "annual_wspd" : 1.7864840188646289, - "city" : "-", - "station_id" : "78208", - "ui_land_area_multiplier" : 0, - "elev" : 358, - "in_location_options" : 0, - "total_module_area" : 526315.78947368416, - "landprep_total" : 1000000, - "loss_avail" : 3, - "step" : 3600, - "install_margin_perwatt" : 0.12, - "subtotal_direct" : 103000000, - "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "inv_eff" : 96, "analysis_period" : 25, - "in_location_list" : "", - "is_advanced" : 0, - "lat" : 33.450000000000003, - "ui_land_area_per_mw" : 0, - "library_paths" : "", "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "per_inverter" : 0.050000000000000003, - "loss_age" : 0, - "om_production_escal" : 0, - "lon" : -111.98, - "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "engr_total" : 2000000, - "ac_nameplate" : 76923.076923076922, "batt_simple_enable" : 0, - "tz" : -7, - "land_percent" : 0, - "grid_percent" : 0, - "user_specified_weather_file" : "", - "wf_nrecords" : 8760, "azimuth" : 180, - "permitting_total" : 0, "gcr" : 0.29999999999999999, - "grid_fixed" : 0, - "en_user_spec_losses" : 0, "shading_mxh" : [ [ 0 ] ], - "loss_conn" : 0.5, - "loss_nameplate" : 1, - "loss_shading" : 3, - "loss_wiring" : 2, "tilt" : 0, - "losses_user" : 14, "module_type" : 0, "system_capacity" : 100000, - "ui_array_land_area_multiplier" : 1, - "installed_per_capacity" : 1.163945, + "shading_diff" : 0, "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_ac_capacity" : 76.92307692307692, - "om_capacity" : [ 15 ], - "module_num_units" : 1, - "ui_use_acre_per_mw" : 0, - "ui_land_area_additional_units" : 0, - "ui_array_land_area_ha" : 263.15847360564504, - "total_installed_cost" : 116394500, - "pvwatts.advanced.is_shown" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "shading_en_timestep" : 0, - "ui_land_area_additional" : 0, - "ui_total_land_area_ha" : 263.15847360564504, - "inverter_num_units" : 1, - "ui_total_module_area" : 526315.78947368416, "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_per_acre" : 0, - "inflation_rate" : 2.5, - "ui_total_module_area_acres" : 130.05534895540842, - "pv_land_area_is_shown" : 0, "adjust_en_timeindex" : 0, - "adjust" : 0, - "shading_diff" : 0, "adjust_constant" : 0, "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], - "contingency" : 3090000, "shading_en_azal" : 0, "bifaciality" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "module_is_bifacial" : 0, - "shading" : 0, - "land_per_watt" : 0, "shading_en_mxh" : 0, "shading_en_string_option" : 0, - "sales_tax_rate" : 5, - "shading_timestep" : [ [ 0 ] ], - "user_specified_constant_albedo" : 0.20000000000000001, - "landprep_per_watt" : 0.01, "use_wf_albedo" : 1, "system_use_lifetime_output" : 0, - "degradation" : [ 0.5 ], - "install_margin_perarea" : 0, - "bos_equip_perarea" : 0, - "bos_equip_fixed" : 0, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "contingency_percent" : 3, - "bos_equip_total" : 28999999.999999996, - "engr_fixed" : 0, - "om_fixed" : [ 0 ], - "engr_per_watt" : 0.02, - "engr_percent" : 0, - "sales_tax_percent" : 100, - "om_fixed_escal" : 0, - "grid_per_watt" : 0.02, - "permitting_percent" : 0, - "grid_total" : 2000000, - "install_labor_total" : 18000000, - "install_labor_fixed" : 0, - "install_labor_perarea" : 0, - "install_labor_perwatt" : 0.17999999999999999, - "install_margin_fixed" : 0, - "install_margin_total" : 12000000, - "inverter_costunits" : 1, - "inverter_power" : 76923.076923076922, - "inverterarray_power" : 76923.076923076922, - "land_area_value" : 650.27774620322919, - "land_fixed" : 0, - "land_total" : 0, - "landprep_percent" : 0, - "module_power" : 100000, - "modulearray_area" : 526315.78947368416, - "modulearray_power" : 100000, - "per_module" : 0.39000000000000001, - "total_direct_cost" : 106090000, - "sales_tax_total" : 5304500, - "permitting_per_watt" : 0, - "sales_tax_value" : 5, - "total_indirect_cost" : 5000000, - "om_capacity_escal" : 0, - "om_production" : [ 0 ], - "land_area" : 650.27774620322919, - "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "ui_land_area_units" : 0, - "ui_land_lease" : [ 0 ], - "number table entries" : 175 + "number table entries" : 33 }, "battery" : { - "battery_voltage_shown" : 0, - "compute_as_cube" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_power_dc_ac" : 0, - "pv.storage.p4.charge" : 0, - "batt_qnom_percent" : 97.6875, - "leadacid_q20_computed" : 479616, - "batt_qexp" : 2.5840000000000005, - "leadacid_q10_computed" : 446042.88, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "leadacid_q10" : 93, + "batt_dispatch_pvs_kp" : 1.2, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], "batt_cycle_cost_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_bank_nstrings" : 1, - "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], - "leadacid_q20" : 100, - "leadacid_qn" : 60, - "battery_per_kw" : 236, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ppa_price_input" : [ 0.050000000000000003 ], - "leadacid_tn" : 1, - "pv.storage.p3.discharge" : 0, - "leadacid_qn_computed" : 287769.59999999998, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_c_rate_max_discharge" : 0.25, - "pv.storage.p6.dischargetogrid" : 0, - "batt_volume" : 3428.5692342857146, - "batt_ui_inverter_eff" : 96, - "batt_qnom" : 3.1260000000000003, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_kp" : 1.2, + "batt_room_temperature_celsius" : [ 25 ], + "batt_resistance" : 0.001155, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_bank_capacity" : 239999.84640000004, "batt_power_discharge_max_kwdc" : 59999.96160000001, - "pv.storage.p3.charge" : 0, - "add_om_num_types" : 1, "batt_chem" : 1, - "batt_cell_current_charge_max" : 10, + "inflation_rate" : 2.5, + "batt_replacement_capacity" : 50, "om_replacement_cost_escal" : 0, - "genericsys.cost.contingency_percent" : 3, - "pv.storage.p5.gridcharge" : 0, "batt_life_model" : 1, "batt_dispatch_pvs_ac_ub" : 1.05, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_series" : 139, - "batt_c_rate_max_charge_input" : 0.5, + "batt_h_to_ambient" : 100, "om_batt_variable_cost" : [ 0 ], - "genericsys.cost.plm.nonfixed" : 3305061.884759041, - "om_batt_nameplate" : 239999.84640000004, - "batt_type" : 5, - "batt_bank_duration" : 0, + "ppa_escalation" : 1, "batt_surface_area" : 17999.988480000004, "batt_loss_choice" : 0, - "batt_unit_capacity" : 400, "batt_meter_position" : 1, - "batt_maximum_soc" : 95, - "batt_cell_power_charge_max" : 2, - "batt_bank_size_specify" : 100, - "batt_bank_nseries_stacks" : 1, - "batt_bank_size" : 240000, - "batt_discharge_percent_1" : 50, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, "batt_dispatch_auto_can_gridcharge" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_computed_voltage" : 500.40000000000003, - "batt_vcut" : 2.7719999999999998, - "batt_vnom_default" : 3.6000000000000001, - "batt_discharge_percent_2" : 25, - "batt_vfull" : 4.2000000000000002, - "batt_bank_ncells_serial" : 3, "batt_computed_strings" : 149880, "en_standalone_batt" : 0, "batt_current_discharge_max" : 119904, - "pv.storage.p3.dischargetogrid" : 0, - "batt_bank_voltage" : 500, - "ui_copy_batt_discharge_percent_5" : 25, - "batt_qfull_flow" : 479616.00000000006, - "batt_size_choice" : 0, + "batt_dc_dc_efficiency" : 99, "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_bank_power" : 60000, - "battery_total" : 91679941.324800014, - "batt_initial_soc" : 50, - "total_direct_cost" : 94430339.564544022, - "batt_cell_current_discharge_max" : 10, - "batt_c_rate_max_charge" : 0.25, - "batt_duration_choice" : 0, - "genericsys.cost.epc.fixed" : 0, "batt_inverter_efficiency_cutoff" : 90, - "genericsys.cost.epc.total" : 9443033.9564544018, - "batt_thermal_choice" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_bank_size_ui" : 240000, - "batt_qfull" : 3.2000000000000002, - "batt_cell_power_discharge_max" : 2, - "batt_time_capacity" : 4, - "batt_computed_stacks_series" : 0, "batt_current_charge_max" : 119904, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_pvs_battery_energy" : 239999.84640000004, - "batt_discharge_percent_6" : 25, "batt_current_choice" : 1, - "om_capacity_escal" : 0, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_num_cells" : 20833320, "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_calendar_c" : 939, "batt_ac_dc_efficiency" : 96, "batt_power_charge_max_kwdc" : 59999.96160000001, "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_ui_nominal_bank_power" : 59999.96160000001, - "batt_dc_dc_efficiency" : 99, - "batt_c_rate" : 0.20000000000000001, "batt_voltage_choice" : 0, - "batt_qexp_percent" : 80.75, - "batt_vexp" : 3.5299999999999998, - "batt_resistance" : 0.001155, "dispatch_manual_percent_discharge" : [ 25, 0 ], "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_3" : 100, - "batt_vnom" : 3.3420000000000001, - "batt_ui_bank_voltage" : 500.40000000000003, - "genericsys.cost.epc.nonfixed" : 9443033.9564544018, - "batt_ui_cell_voltage" : 3.6000000000000001, - "pv.storage.p6.discharge" : 0, "batt_losses" : [ 0 ], "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_replacement_schedule_percent" : [ 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "battery_losses_shown" : 0, "dispatch_manual_system_charge_first" : 0, - "batt_cp" : 1500, - "batt_room_temperature_vector" : [ 0 ], "batt_mass" : 2376236.1029702974, "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_h_to_ambient" : 100, - "batt_specific_energy_per_mass" : 101, + "batt_calendar_choice" : 1, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_specific_energy_per_volume" : 70, - "batt_unit_surface_area" : 30, - "battery_thermal_shown" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], "batt_calendar_a" : 0.00266, - "genericsys.cost.sales_tax.total" : 4721516.9782272009, "batt_calendar_b" : -7280, - "battery_indirect_cost_percent" : 0, "batt_calendar_q0" : 1.02, - "inflation_rate" : 2.5, - "batt_pvs_user_specified_weather_file" : "", - "om_fixed_escal" : 0, - "batt_calendar_c" : 939, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_choice" : 1, - "ppa_escalation" : 1, "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_life_excl" : 1, - "batt_replacement_capacity" : 50, "batt_replacement_option" : 1, - "pv.storage.p2.charge" : 0, - "ui_batt_life_model" : 0, "batt_cycle_cost" : [ 0 ], - "genericsys.cost.epc.percent" : 10, - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], "batt_look_ahead_hours" : 18, - "pv.storage.p1.discharge" : 0, - "batt_user_specified_weather_file" : "", "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "genericsys.cost.per_watt" : 0, "batt_dispatch_pvs_ac_ub_enable" : 0, - "pv.storage.p4.gridcharge" : 0, - "batt_dispatch_pvs_battery_power" : 62499.960000000014, - "om_batt_fixed_cost" : [ 0 ], - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_gridcharge_percent_1" : 100, - "battery_energy" : 239999.84640000004, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_interconnection_limit" : 20000, "batt_dispatch_pvs_ki" : 1.8, "batt_dispatch_pvs_max_ramp" : 10, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate" : 276923.07692307694, "batt_dispatch_pvs_nameplate_ac" : 20000, - "total_installed_cost" : 111899952.38398466, "batt_dispatch_pvs_short_forecast_enable" : 0, "batt_dispatch_pvs_soc_rest" : 50, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_pvs_wf_timestep" : 60, "batt_pv_clipping_forecast" : [ 0 ], - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_gridcharge_percent_6" : 100, "batt_custom_dispatch" : [ 0 ], - "batt_discharge_percent_3" : 25, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_discharge_percent_4" : 25, "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_5" : 25, - "genericsys.cost.sales_tax.value" : 5, - "batt_gridcharge_percent_2" : 100, - "batt_gridcharge_percent_4" : 100, - "batt_gridcharge_percent_5" : 100, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], "analysis_period" : 25, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_auto_can_clipcharge" : 0, "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pv.storage.p1.charge" : 1, - "pv.storage.p1.dischargetogrid" : 0, - "pv.storage.p5.charge" : 0, - "pv.storage.p1.gridcharge" : 0, - "pv.storage.p2.discharge" : 1, - "pv.storage.p2.dischargetogrid" : 0, - "ui_copy_batt_discharge_percent_2" : 25, - "pv.storage.p2.gridcharge" : 0, - "ui_copy_batt_discharge_percent_1" : 50, - "pv.storage.p3.gridcharge" : 0, - "pv.storage.p4.discharge" : 0, - "pv.storage.p4.dischargetogrid" : 0, - "pv.storage.p5.dischargetogrid" : 0, - "pv.storage.p6.charge" : 0, - "pv.storage.p6.gridcharge" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "batt_dispatch_excl" : 3, - "batt_minimum_soc" : 10, "batt_minimum_modetime" : 10, "om_production_escal" : 0, "grid_interconnection_limit_kwac" : 20000, "batt_dispatch_auto_can_charge" : 1, "batt_dispatch_choice" : 3, - "batt_dispatch_choice_ui" : 3, - "genericsys.cost.contingency" : 2750398.2397440001, - "battery_per_kwh" : 323, - "battery_power" : 59999.96160000001, - "battery_total_cost_lcos" : 111899952.38398466, - "sales_tax_rate" : 5, - "genericsys.cost.installed_per_capacity" : 1.94270875, - "pv_ui_step_minutes" : 60, - "genericsys.cost.plm.fixed" : 0, - "genericsys.cost.plm.percent" : 3.5, - "genericsys.cost.plm.total" : 3305061.884759041, - "genericsys.cost.sales_tax.percent" : 100, - "total_indirect_cost" : 12748095.841213442, "om_batt_replacement_cost" : [ 323 ], - "system_capacity" : 57599.963136000006, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "wind_ui_step_minutes" : 60, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "hybrid_system_capacity" : 276923.07692307694, - "number table entries" : 253 + "number table entries" : 93 }, "hybrid" : { - "ui_generic_capacity" : 0, - "flip_target_year" : 20, "depr_bonus_fed_custom" : 0, - "ui_wacc" : 5.3872800000000014, - "ui_wind_cost" : 292032000, - "ui_battery_capacity" : 57599.963136000006, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_source" : "empty", - "wind_system_capacity" : 200000, + "flip_target_year" : 20, "om_capacity" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_fed" : 0, "ibi_oth_amount_tax_sta" : 1, - "const_per_months3" : 0, "cost_other_financing" : 0, - "ui_generic_cost" : 0, "cp_capacity_payment_type" : 0, "inflation_rate" : 2.5, "depr_bonus_fed" : 0, "flip_target_percent" : 11, "cp_capacity_payment_esc" : 0, - "ur_name" : "", - "const_per_principal1" : 520326452.38398468, "term_int_rate" : 4, "property_tax_rate" : 0, "ptc_fed_escal" : 0, "months_working_reserve" : 6, "system_capacity" : 276923.07692307694, "ibi_fed_percent_maxvalue" : 0, - "ui_fuel_cell_cost" : 0, "pbi_oth_tax_sta" : 1, - "const_per_interest_rate3" : 0, "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, "enable_interconnection_limit" : 0, "equip3_reserve_freq" : 0, - "ui_battery_cost" : 111899952.38398466, "ibi_oth_percent_tax_fed" : 1, "dscr_maximum_debt_fraction" : 100, - "nominal_discount_rate" : 9.0600000000000023, - "mera_name2" : "Replacement Reserve 2", - "ui_fuel_cell_capacity" : 0, "ptc_sta_amount" : [ 0 ], - "const_per_interest4" : 0, "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, - "const_per_interest3" : 0, "debt_option" : 1, "real_discount_rate" : 6.4000000000000004, "itc_sta_amount" : [ 0 ], "depr_alloc_sl_15_percent" : 0, "rate_escalation" : [ 0 ], - "lib_dispatch_factor4" : 1, - "const_per_total2" : 0, "prop_tax_assessed_decline" : 0, "pbi_oth_for_ds" : 0, - "mera_cost2" : 0, - "hybrid_capital_cost" : 0, "cbi_fed_deprbas_sta" : 0, "itc_fed_percent_deprbas_sta" : 1, "depr_itc_sta_macrs_15" : 0, "ur_enable_billing_demand" : 0, "debt_percent" : 60, - "const_per_interest2" : 0, - "battery_system_capacity" : 57599.963136000006, - "ui_hyb_pv_capacity" : 76923.076923076922, "batt_salvage_percentage" : 0, "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "ibi_fed_amount_deprbas_sta" : 0, "salvage_percentage" : 0, "ibi_oth_amount_deprbas_sta" : 0, "depr_alloc_macrs_5_percent" : 100, - "const_per_name3" : "Loan 3", "total_installed_cost" : 520326452.38398468, - "property_assessed_value" : 520326452.38398468, "pbi_uti_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, - "ui_pv_cost" : 116394500, "cbi_uti_deprbas_fed" : 0, "depr_bonus_fed_macrs_15" : 0, - "ur_dc_is_shown" : 1, "om_fixed" : 0, "om_production" : 0, "term_tenor" : 18, @@ -675,13 +235,10 @@ "state_tax_rate" : [ 7 ], "analysis_period" : 25, "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period_warning" : "", - "mera_name1" : "Replacement Reserve 1", "federal_tax_rate" : [ 21 ], "equip_reserve_depr_sta" : 0, "equip3_reserve_cost" : 0, "dscr" : 1.3, - "ur_rate_notes" : "", "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_fed_maxvalue" : 0, "ibi_sta_percent" : 0, @@ -692,111 +249,51 @@ "prop_tax_cost_assessed_percent" : 100, "depr_itc_sta_sl_15" : 0, "ibi_fed_amount" : 0, - "sales_tax_rate" : 5, - "const_per_name5" : "Loan 5", "ptc_fed_amount" : [ 0 ], "ibi_uti_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, - "solution_mode_message" : "", "pbi_fed_tax_fed" : 1, - "salvage_value" : 0, "depr_alloc_sl_5_percent" : 0, "cbi_sta_amount" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "batt_salvage_value" : 0, - "ur_demand_history" : 0, "payment_option" : 0, "pbi_sta_for_ds" : 0, "pbi_fed_escal" : 0, "grid_curtailment_price_esc" : 0, "depr_custom_schedule" : [ 0 ], "dscr_limit_debt_fraction" : 0, - "const_per_upfront_rate2" : 0, "cost_debt_closing" : 0, - "ur_voltage_max" : 0, "ibi_oth_percent_tax_sta" : 1, "ibi_fed_amount_deprbas_fed" : 0, - "debt_message" : "", "cp_capacity_payment_amount" : [ 0 ], "loan_moratorium" : 0, "cbi_oth_tax_fed" : 1, - "show_debtconstdscr" : 1, - "show_capitalcostso" : 1, - "const_per_interest1" : 8455304.851239752, "batt_replacement_option" : 1, - "const_per_interest_rate1" : 6.5, "itc_fed_amount_deprbas_fed" : 1, - "const_per_principal_total" : 520326452.38398468, "ibi_sta_percent_deprbas_fed" : 0, - "const_per_name2" : "Loan 2", - "dispatch_data_filename" : "", - "ur_demand_reactive_power_charge" : 0, - "const_per_upfront_rate1" : 1, "pbi_fed_amount" : [ 0 ], - "const_per_interest_rate2" : 0, "ibi_oth_percent_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_interest5" : 0, - "const_per_interest_total" : 8455304.851239752, - "const_per_interest_rate4" : 0, - "const_per_interest_rate5" : 0, - "const_per_upfront_rate5" : 0, "depr_bonus_sta_sl_39" : 0, - "const_per_months1" : 6, "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "const_per_upfront_rate3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], "ibi_oth_amount_tax_fed" : 1, "ur_nm_credit_rollover" : 0, - "lib_dispatch_factor5" : 1, - "const_per_total3" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_months2" : 0, - "const_per_months4" : 0, - "lib_dispatch_factor7" : 1, - "const_per_total1" : 13658569.375079598, - "const_per_months5" : 0, - "ur_is_default" : 0, - "const_per_name1" : "Loan 1", "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, "construction_financing_cost" : 13658569.375079598, - "const_per_name4" : "Loan 4", "cbi_uti_maxvalue" : 0, "pbi_uti_escal" : 0, "depr_fedbas_method" : 1, - "const_per_percent4" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "show_reserveaccounts" : 1, - "ur_billing_demand_is_shown" : 0, "ibi_uti_amount_tax_sta" : 1, "ptc_sta_escal" : 0, - "const_per_percent1" : 100, - "const_per_percent2" : 0, "depr_bonus_sta_macrs_5" : 0, "itc_sta_percent_deprbas_fed" : 0, - "const_per_percent3" : 0, "pbi_oth_escal" : 0, - "const_per_percent_total" : 100, "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, "ibi_sta_amount" : 0, - "mera_name3" : "Replacement Reserve 3", - "ur_desc_is_shown" : 0, - "const_per_percent5" : 0, - "const_per_principal2" : 0, - "is_btm" : 0, "equip1_reserve_cost" : 0.10000000000000001, - "const_per_principal3" : 0, - "const_per_principal4" : 0, - "const_per_principal5" : 0, - "lib_dispatch_factor2" : 1, - "const_per_total4" : 0, - "lib_dispatch_factor3" : 1, - "const_per_total5" : 0, - "show_construction_period" : 1, "ibi_oth_amount" : 0, - "ur_unused_is_shown" : 0, "ibi_sta_percent_tax_fed" : 1, "dscr_reserve_months" : 6, "equip1_reserve_freq" : 15, @@ -804,11 +301,7 @@ "equip2_reserve_cost" : 0, "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "wind_total_installed_cost" : 292032000, - "mera_cost1" : 27692307.692307696, "depr_bonus_fed_sl_39" : 0, - "mera_cost3" : 0, "reserves_interest" : 1.25, "depr_itc_sta_custom" : 0, "ppa_escalation" : 1, @@ -819,31 +312,18 @@ "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, - "battery_total_installed_cost" : 111899952.38398466, "ibi_sta_amount_deprbas_sta" : 0, - "revenue_tod_is_shown" : 1, "itc_fed_amount" : [ 0 ], "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_tax_fed" : 1, - "ur_end_date" : "empty", "depr_bonus_sta_custom" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "lib_dispatch_factor1" : 1, "pbi_sta_tax_fed" : 1, "depr_bonus_fed_sl_15" : 0, - "ac_nameplate" : 76923.076923076922, "pbi_sta_amount" : [ 0 ], - "tod_library" : "Uniform Dispatch", "ur_nm_yearend_sell_rate" : 0, "depr_itc_sta_macrs_5" : 0, - "lib_dispatch_factor6" : 1, - "lib_dispatch_factor8" : 1, - "lib_dispatch_factor9" : 1, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "revenue_capacity_payments_is_shown" : 0, - "ur_energy_history" : 0, - "ui_system_nameplate_standalone" : 0, "cp_battery_nameplate" : 0, "ptc_fed_term" : 10, "cp_capacity_credit_percent" : [ 0 ], @@ -852,7 +332,6 @@ "cp_system_nameplate" : 276.92307692307696, "grid_curtailment_price" : [ 0 ], "depr_bonus_fed_sl_5" : 0, - "revenue_curtailment_is_shown" : 0, "itc_fed_amount_deprbas_sta" : 1, "itc_fed_percent" : [ 30 ], "ptc_sta_term" : 10, @@ -861,7 +340,6 @@ "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, - "ur_fuel_adjustments_monthly" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "depr_alloc_macrs_15_percent" : 0, @@ -876,17 +354,13 @@ "cbi_oth_amount" : 0, "depr_bonus_fed_sl_20" : 0, "cbi_oth_deprbas_fed" : 0, - "batt_power_discharge_max_kwac" : 57599.963136000006, "cbi_oth_deprbas_sta" : 0, "pbi_fed_tax_sta" : 1, "cbi_oth_maxvalue" : 0, - "ui_electricity_rate_option" : 1, "cbi_oth_tax_sta" : 1, "cbi_sta_deprbas_fed" : 0, "cbi_sta_deprbas_sta" : 0, - "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, - "ur_voltage_min" : 0, "ibi_fed_amount_tax_fed" : 1, "cbi_uti_amount" : 0, "ibi_uti_percent" : 0, @@ -896,8 +370,6 @@ "ibi_fed_percent_deprbas_sta" : 0, "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, - "ur_ratedata_filename" : "", - "ur_energy_attrs" : "", "ibi_fed_percent_tax_sta" : 1, "ibi_sta_amount_tax_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, @@ -905,21 +377,16 @@ "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, "ibi_oth_percent_deprbas_fed" : 0, - "ur_fixed_attrs" : "", "ibi_sta_percent_maxvalue" : 0, "ibi_uti_amount" : 0, "ur_nm_credit_month" : 0, "ibi_uti_percent_tax_sta" : 1, - "ur_energy_max" : 0, "depr_itc_sta_sl_5" : 0, - "chk_update_peaks" : 0, "pbi_fed_term" : 0, "pbi_oth_tax_fed" : 1, "pbi_oth_term" : 0, - "ur_ec_is_shown" : 1, "depr_itc_fed_sl_15" : 0, "depr_itc_sta_sl_20" : 0, - "ur_voltage_category" : "", "pbi_sta_escal" : 0, "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], @@ -928,8 +395,6 @@ "depr_alloc_custom_percent" : 0, "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, - "depr_alloc_none" : 0, - "ur_demand_window" : 0, "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_macrs_5" : 1, "depr_bonus_sta" : 0, @@ -947,26 +412,14 @@ "ur_en_ts_buy_rate" : 0, "ur_ts_buy_rate" : [ 0 ], "ur_annual_min_charge" : 0, - "ur_has_unused_items" : 0, "ur_monthly_min_charge" : 0, - "ur_demand_max" : 0, - "ur_description" : "", - "ur_energy_min" : 0, - "ur_utility" : "empty", - "ur_phase_wiring" : "", - "ur_schedule_name" : "empty", - "ur_start_date" : "empty", - "ur_uri" : "empty", "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_yearzero_usage_peaks" : [ 0 ], "ur_billing_demand_minimum" : 0, "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_demand_attrs" : "", - "ur_service_type" : "", - "pv_total_installed_cost" : 116394500, - "number table entries" : 378 + "number table entries" : 242 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json new file mode 100644 index 000000000..25448e6a0 --- /dev/null +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json @@ -0,0 +1,976 @@ +{ + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "windpower" : { + "step" : 0, + "total_installed_cost_per_kw" : 1460.1600000000001, + "wind_resource_distribution" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "bos_cost_total" : 69552000, + "weibull_wind_speed" : 7.25, + "bos_cost_per_kw" : 347.75999999999999, + "wind_climate.url_info" : "empty", + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "env_degrad_loss" : 1.8, + "wind_climate.msg_is_error" : 0, + "wind.turbine.elevation" : 0, + "wind_resource.requested_ht" : 80, + "degradation" : [ 0 ], + "weibull_reference_height" : 50, + "wind.turbine.radio_list_or_design" : 0, + "avail_grid_loss" : 1.5, + "a_error_test_number" : 17.344999999999999, + "wind_turbine_rotor_diameter" : 100, + "use_specific_wf_wind" : 0, + "adjust_en_timeindex" : 0, + "wind_resource.country" : "USA", + "user_specified_wf_wind" : " ", + "wind_resource.elev" : 1829, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "wind_climate.msg" : "", + "wind_resource.closest_speed_meas_ht" : 80, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_resource.city" : "city??", + "wind_resource.closest_dir_meas_ht" : 80, + "wind.turbine.max_tip_speed" : 80, + "wind.turbine.dummy" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource.lon_requested" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, + "est_bos_cost" : 0, + "wind_resource_model_choice" : 0, + "resource_definition_type" : 0, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_rated_wind_speed" : 2500, + "wind.turbine.tower_design" : 0, + "windfarm.farm.offset" : 4, + "wind_resource.lat_requested" : 0, + "wind_turbine_kw_rating_input" : 1500, + "wind_resource.lon" : 0, + "wind_farm_sizing_mode" : 2, + "wind_turbine_rotor_diameter_from_lib" : 100, + "wind_resource.lat" : 0, + "wind_turbine_hub_ht" : 80, + "wind_resource.year" : 1900, + "wind_resource.location_id" : "loc_id", + "wind.turbine.name_only" : "0", + "wind.turbine.blade_design" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "wind_resource.state" : "AZ", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_per_kw" : 1112.4000000000001, + "wind_turbine_cutin" : 4, + "wind_turbine_kw_rating_from_lib" : 2500, + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "a_error_test_string" : "\"default err msg\"", + "wind.turbine.drive_train" : 0, + "wind.turbine.region2nhalf_slope" : 5, + "wind_turbine_cut_out" : 25, + "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_err_msg" : "", + "wind_turbine_kw_rating" : 2500, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_selection" : "GE 2.5xl", + "wind_farm_wake_model" : 0, + "om_production_escal" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wake_loss" : 0, + "desired_farm_size" : 10, + "adjust" : 0, + "adjust_en_periods" : 0, + "cols" : 1, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "sales_tax_basis" : 0, + "turbine_cost_per_turbine" : 0, + "adjust_timeindex" : [ 0 ], + "rows" : 1, + "sizing_warning" : 0, + "specify_label" : 1, + "system_capacity" : 200000, + "wind_farm_num_turbines" : 80, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "windfarm.farm.layout_angle" : 0, + "bos_cost_per_turbine" : 0, + "wind_farm_ycoord_file" : [ 0 ], + "analysis_period" : 25, + "ops_load_loss" : 0.98999999999999999, + "windfarm.farm.layout_slider" : 33, + "windfarm.farm.number_of_rows" : 10, + "windfarm.farm.offset_type" : 0, + "windfarm.farm.row_spacing" : 8, + "windfarm.layout.file_or_controls" : 1, + "windfarm.farm.shape" : 0, + "windfarm.farm.turbine_spacing" : 8, + "windfarm.farm.turbines_per_row" : 8, + "avail_bop_loss" : 0.5, + "avail_turb_loss" : 3.5800000000000001, + "avail_loss_total" : 5.5011684999999977, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "elec_eff_loss" : 1.9099999999999999, + "elec_loss_total" : 2.0080899999999957, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "env_icing_loss" : 0.20999999999999999, + "ops_loss_total" : 2.8034671600000061, + "wake_loss_total" : 1.100000000000001, + "turb_loss_total" : 3.9544963841199987, + "turb_generic_loss" : 1.7, + "env_loss_total" : 2.3981951200000018, + "ops_env_loss" : 1, + "ops_grid_loss" : 0.83999999999999997, + "ops_strategies_loss" : 0, + "turb_perf_loss" : 1.1000000000000001, + "turb_specific_loss" : 0.81000000000000005, + "turbine_cost_total" : 222480000.00000003, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "system_use_lifetime_output" : 0, + "install_type" : 0, + "sales_tax_total" : 0, + "bos_cost_fixed" : 0, + "reference_capacity" : 200000, + "turbine_cost_fixed" : 0, + "est_turbine_cost" : 0, + "reference_number_turbines" : 80, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "om_capacity" : [ 40 ], + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "sales_tax_rate" : 5, + "number table entries" : 150 + }, + "pvwattsv8" : { + "ui_land_area_ha" : 263.15847360564504, + "annual_twet" : "nan", + "array_type" : 2, + "use_specific_weather_file" : 0, + "dc_ac_ratio" : 1.3, + "in_nsrdb_options" : 0, + "annual_global" : 5.7947616438356171, + "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "losses" : 14.075660688264469, + "annual_albedo" : 0.18395068539332038, + "shading_azal" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "module_total" : 39000000, + "annual_beam" : 7.3356438356164393, + "state" : "-", + "annual_diffuse" : 1.3484328767123288, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "inverter_total" : 5000000, + "country" : "-", + "adjust_en_periods" : 0, + "loss_snow" : 0, + "ui_array_land_area_acres" : 650.27774620322919, + "inv_eff" : 96, + "ui_total_module_area_ha" : 52.631578947368418, + "in_time_step" : 0, + "shading_en_diff" : 0, + "annual_snow" : "nan", + "module_costunits" : 0, + "loss_lid" : 1.5, + "loss_soiling" : 2, + "library_folder_list" : "x", + "landprep_fixed" : 0, + "annual_tdry" : 21.938470319634703, + "loss_mismatch" : 2, + "permitting_fixed" : 0, + "shading_string_option" : 0, + "total_land_area" : 650.27774620322919, + "solar_data_source" : "NSRDB", + "annual_wspd" : 1.7864840188646289, + "city" : "-", + "station_id" : "78208", + "ui_land_area_multiplier" : 0, + "elev" : 358, + "in_location_options" : 0, + "total_module_area" : 526315.78947368416, + "landprep_total" : 1000000, + "loss_avail" : 3, + "step" : 3600, + "install_margin_perwatt" : 0.12, + "subtotal_direct" : 103000000, + "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "analysis_period" : 25, + "in_location_list" : "", + "is_advanced" : 0, + "lat" : 33.450000000000003, + "ui_land_area_per_mw" : 0, + "library_paths" : "", + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "per_inverter" : 0.050000000000000003, + "loss_age" : 0, + "om_production_escal" : 0, + "lon" : -111.98, + "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "engr_total" : 2000000, + "ac_nameplate" : 76923.076923076922, + "batt_simple_enable" : 0, + "tz" : -7, + "land_percent" : 0, + "grid_percent" : 0, + "user_specified_weather_file" : "", + "wf_nrecords" : 8760, + "azimuth" : 180, + "permitting_total" : 0, + "gcr" : 0.29999999999999999, + "grid_fixed" : 0, + "en_user_spec_losses" : 0, + "shading_mxh" : [ [ 0 ] ], + "loss_conn" : 0.5, + "loss_nameplate" : 1, + "loss_shading" : 3, + "loss_wiring" : 2, + "tilt" : 0, + "losses_user" : 14, + "module_type" : 0, + "system_capacity" : 100000, + "ui_array_land_area_multiplier" : 1, + "installed_per_capacity" : 1.163945, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "ui_ac_capacity" : 76.92307692307692, + "om_capacity" : [ 15 ], + "module_num_units" : 1, + "ui_use_acre_per_mw" : 0, + "ui_land_area_additional_units" : 0, + "ui_array_land_area_ha" : 263.15847360564504, + "total_installed_cost" : 116394500, + "pvwatts.advanced.is_shown" : 0, + "shading_en_timestep" : 0, + "ui_land_area_additional" : 0, + "ui_total_land_area_ha" : 263.15847360564504, + "inverter_num_units" : 1, + "ui_total_module_area" : 526315.78947368416, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_per_acre" : 0, + "inflation_rate" : 2.5, + "ui_total_module_area_acres" : 130.05534895540842, + "pv_land_area_is_shown" : 0, + "adjust_en_timeindex" : 0, + "adjust" : 0, + "shading_diff" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "contingency" : 3090000, + "shading_en_azal" : 0, + "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "module_is_bifacial" : 0, + "shading" : 0, + "land_per_watt" : 0, + "shading_en_mxh" : 0, + "shading_en_string_option" : 0, + "sales_tax_rate" : 5, + "shading_timestep" : [ [ 0 ] ], + "user_specified_constant_albedo" : 0.20000000000000001, + "landprep_per_watt" : 0.01, + "use_wf_albedo" : 1, + "system_use_lifetime_output" : 0, + "degradation" : [ 0.5 ], + "install_margin_perarea" : 0, + "bos_equip_perarea" : 0, + "bos_equip_fixed" : 0, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "contingency_percent" : 3, + "bos_equip_total" : 28999999.999999996, + "engr_fixed" : 0, + "om_fixed" : [ 0 ], + "engr_per_watt" : 0.02, + "engr_percent" : 0, + "sales_tax_percent" : 100, + "om_fixed_escal" : 0, + "grid_per_watt" : 0.02, + "permitting_percent" : 0, + "grid_total" : 2000000, + "install_labor_total" : 18000000, + "install_labor_fixed" : 0, + "install_labor_perarea" : 0, + "install_labor_perwatt" : 0.17999999999999999, + "install_margin_fixed" : 0, + "install_margin_total" : 12000000, + "inverter_costunits" : 1, + "inverter_power" : 76923.076923076922, + "inverterarray_power" : 76923.076923076922, + "land_area_value" : 650.27774620322919, + "land_fixed" : 0, + "land_total" : 0, + "landprep_percent" : 0, + "module_power" : 100000, + "modulearray_area" : 526315.78947368416, + "modulearray_power" : 100000, + "per_module" : 0.39000000000000001, + "total_direct_cost" : 106090000, + "sales_tax_total" : 5304500, + "permitting_per_watt" : 0, + "sales_tax_value" : 5, + "total_indirect_cost" : 5000000, + "om_capacity_escal" : 0, + "om_production" : [ 0 ], + "land_area" : 650.27774620322919, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "ui_land_area_units" : 0, + "ui_land_lease" : [ 0 ], + "number table entries" : 175 + }, + "battery" : { + "battery_voltage_shown" : 0, + "compute_as_cube" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_bank_power_dc_ac" : 0, + "pv.storage.p4.charge" : 0, + "batt_qnom_percent" : 97.6875, + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "leadacid_q10" : 93, + "batt_cycle_cost_choice" : 0, + "batt_room_temperature_single" : 25, + "batt_bank_nstrings" : 1, + "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], + "leadacid_q20" : 100, + "leadacid_qn" : 60, + "battery_per_kw" : 236, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "pv.storage.p3.discharge" : 0, + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_c_rate_max_discharge" : 0.25, + "pv.storage.p6.dischargetogrid" : 0, + "batt_volume" : 3428.5692342857146, + "batt_ui_inverter_eff" : 96, + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_computed_bank_capacity" : 239999.84640000004, + "batt_power_discharge_max_kwdc" : 59999.96160000001, + "pv.storage.p3.charge" : 0, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_cell_current_charge_max" : 10, + "om_replacement_cost_escal" : 0, + "genericsys.cost.contingency_percent" : 3, + "pv.storage.p5.gridcharge" : 0, + "batt_life_model" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_c_rate_max_charge_input" : 0.5, + "om_batt_variable_cost" : [ 0 ], + "genericsys.cost.plm.nonfixed" : 3305061.884759041, + "om_batt_nameplate" : 239999.84640000004, + "batt_type" : 5, + "batt_bank_duration" : 0, + "batt_surface_area" : 17999.988480000004, + "batt_loss_choice" : 0, + "batt_unit_capacity" : 400, + "batt_meter_position" : 1, + "batt_maximum_soc" : 95, + "batt_cell_power_charge_max" : 2, + "batt_bank_size_specify" : 100, + "batt_bank_nseries_stacks" : 1, + "batt_bank_size" : 240000, + "batt_discharge_percent_1" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_computed_voltage" : 500.40000000000003, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_discharge_percent_2" : 25, + "batt_vfull" : 4.2000000000000002, + "batt_bank_ncells_serial" : 3, + "batt_computed_strings" : 149880, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904, + "pv.storage.p3.dischargetogrid" : 0, + "batt_bank_voltage" : 500, + "ui_copy_batt_discharge_percent_5" : 25, + "batt_qfull_flow" : 479616.00000000006, + "batt_size_choice" : 0, + "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_bank_power" : 60000, + "battery_total" : 91679941.324800014, + "batt_initial_soc" : 50, + "total_direct_cost" : 94430339.564544022, + "batt_cell_current_discharge_max" : 10, + "batt_c_rate_max_charge" : 0.25, + "batt_duration_choice" : 0, + "genericsys.cost.epc.fixed" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "genericsys.cost.epc.total" : 9443033.9564544018, + "batt_thermal_choice" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_bank_size_ui" : 240000, + "batt_qfull" : 3.2000000000000002, + "batt_cell_power_discharge_max" : 2, + "batt_time_capacity" : 4, + "batt_computed_stacks_series" : 0, + "batt_current_charge_max" : 119904, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_pvs_battery_energy" : 239999.84640000004, + "batt_discharge_percent_6" : 25, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_num_cells" : 20833320, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 59999.96160000001, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_ui_nominal_bank_power" : 59999.96160000001, + "batt_dc_dc_efficiency" : 99, + "batt_c_rate" : 0.20000000000000001, + "batt_voltage_choice" : 0, + "batt_qexp_percent" : 80.75, + "batt_vexp" : 3.5299999999999998, + "batt_resistance" : 0.001155, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_3" : 100, + "batt_vnom" : 3.3420000000000001, + "batt_ui_bank_voltage" : 500.40000000000003, + "genericsys.cost.epc.nonfixed" : 9443033.9564544018, + "batt_ui_cell_voltage" : 3.6000000000000001, + "pv.storage.p6.discharge" : 0, + "batt_losses" : [ 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "battery_losses_shown" : 0, + "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_room_temperature_vector" : [ 0 ], + "batt_mass" : 2376236.1029702974, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_h_to_ambient" : 100, + "batt_specific_energy_per_mass" : 101, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_specific_energy_per_volume" : 70, + "batt_unit_surface_area" : 30, + "battery_thermal_shown" : 0, + "batt_calendar_a" : 0.00266, + "genericsys.cost.sales_tax.total" : 4721516.9782272009, + "batt_calendar_b" : -7280, + "battery_indirect_cost_percent" : 0, + "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "batt_pvs_user_specified_weather_file" : "", + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, + "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_life_excl" : 1, + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "pv.storage.p2.charge" : 0, + "ui_batt_life_model" : 0, + "batt_cycle_cost" : [ 0 ], + "genericsys.cost.epc.percent" : 10, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "batt_look_ahead_hours" : 18, + "pv.storage.p1.discharge" : 0, + "batt_user_specified_weather_file" : "", + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "genericsys.cost.per_watt" : 0, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "pv.storage.p4.gridcharge" : 0, + "batt_dispatch_pvs_battery_power" : 62499.960000000014, + "om_batt_fixed_cost" : [ 0 ], + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_gridcharge_percent_1" : 100, + "battery_energy" : 239999.84640000004, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_interconnection_limit" : 20000, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate" : 276923.07692307694, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_pvs_wf_timestep" : 60, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_gridcharge_percent_6" : 100, + "batt_custom_dispatch" : [ 0 ], + "batt_discharge_percent_3" : 25, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_discharge_percent_4" : 25, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_5" : 25, + "genericsys.cost.sales_tax.value" : 5, + "batt_gridcharge_percent_2" : 100, + "batt_gridcharge_percent_4" : 100, + "batt_gridcharge_percent_5" : 100, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pv.storage.p1.charge" : 1, + "pv.storage.p1.dischargetogrid" : 0, + "pv.storage.p5.charge" : 0, + "pv.storage.p1.gridcharge" : 0, + "pv.storage.p2.discharge" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "ui_copy_batt_discharge_percent_2" : 25, + "pv.storage.p2.gridcharge" : 0, + "ui_copy_batt_discharge_percent_1" : 50, + "pv.storage.p3.gridcharge" : 0, + "pv.storage.p4.discharge" : 0, + "pv.storage.p4.dischargetogrid" : 0, + "pv.storage.p5.dischargetogrid" : 0, + "pv.storage.p6.charge" : 0, + "pv.storage.p6.gridcharge" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "batt_dispatch_excl" : 3, + "batt_minimum_soc" : 10, + "batt_minimum_modetime" : 10, + "om_production_escal" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "batt_dispatch_choice" : 3, + "batt_dispatch_choice_ui" : 3, + "genericsys.cost.contingency" : 2750398.2397440001, + "battery_per_kwh" : 323, + "battery_power" : 59999.96160000001, + "battery_total_cost_lcos" : 111899952.38398466, + "sales_tax_rate" : 5, + "genericsys.cost.installed_per_capacity" : 1.94270875, + "pv_ui_step_minutes" : 60, + "genericsys.cost.plm.fixed" : 0, + "genericsys.cost.plm.percent" : 3.5, + "genericsys.cost.plm.total" : 3305061.884759041, + "genericsys.cost.sales_tax.percent" : 100, + "total_indirect_cost" : 12748095.841213442, + "om_batt_replacement_cost" : [ 323 ], + "system_capacity" : 57599.963136000006, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "wind_ui_step_minutes" : 60, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "hybrid_system_capacity" : 276923.07692307694, + "number table entries" : 253 + }, + "hybrid" : { + "ui_generic_capacity" : 0, + "flip_target_year" : 20, + "depr_bonus_fed_custom" : 0, + "ui_wacc" : 5.3872800000000014, + "ui_wind_cost" : 292032000, + "ui_battery_capacity" : 57599.963136000006, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_source" : "empty", + "wind_system_capacity" : 200000, + "om_capacity" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ibi_oth_amount_tax_sta" : 1, + "const_per_months3" : 0, + "cost_other_financing" : 0, + "ui_generic_cost" : 0, + "cp_capacity_payment_type" : 0, + "inflation_rate" : 2.5, + "depr_bonus_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "ur_name" : "", + "const_per_principal1" : 520326452.38398468, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.07692307694, + "ibi_fed_percent_maxvalue" : 0, + "ui_fuel_cell_cost" : 0, + "pbi_oth_tax_sta" : 1, + "const_per_interest_rate3" : 0, + "grid_interconnection_limit_kwac" : 20000, + "cost_debt_fee" : 2.75, + "enable_interconnection_limit" : 0, + "equip3_reserve_freq" : 0, + "ui_battery_cost" : 111899952.38398466, + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "nominal_discount_rate" : 9.0600000000000023, + "mera_name2" : "Replacement Reserve 2", + "ui_fuel_cell_capacity" : 0, + "ptc_sta_amount" : [ 0 ], + "const_per_interest4" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "cbi_fed_deprbas_fed" : 0, + "const_per_interest3" : 0, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "lib_dispatch_factor4" : 1, + "const_per_total2" : 0, + "prop_tax_assessed_decline" : 0, + "pbi_oth_for_ds" : 0, + "mera_cost2" : 0, + "hybrid_capital_cost" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "const_per_interest2" : 0, + "battery_system_capacity" : 57599.963136000006, + "ui_hyb_pv_capacity" : 76923.076923076922, + "batt_salvage_percentage" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ibi_fed_amount_deprbas_sta" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "const_per_name3" : "Loan 3", + "total_installed_cost" : 520326452.38398468, + "property_assessed_value" : 520326452.38398468, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "ui_pv_cost" : 116394500, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "ur_dc_is_shown" : 1, + "om_fixed" : 0, + "om_production" : 0, + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period_warning" : "", + "mera_name1" : "Replacement Reserve 1", + "federal_tax_rate" : [ 21 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "dscr" : 1.3, + "ur_rate_notes" : "", + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ibi_sta_percent" : 0, + "pbi_sta_term" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "sales_tax_rate" : 5, + "const_per_name5" : "Loan 5", + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "solution_mode_message" : "", + "pbi_fed_tax_fed" : 1, + "salvage_value" : 0, + "depr_alloc_sl_5_percent" : 0, + "cbi_sta_amount" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "batt_salvage_value" : 0, + "ur_demand_history" : 0, + "payment_option" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_curtailment_price_esc" : 0, + "depr_custom_schedule" : [ 0 ], + "dscr_limit_debt_fraction" : 0, + "const_per_upfront_rate2" : 0, + "cost_debt_closing" : 0, + "ur_voltage_max" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "debt_message" : "", + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "show_debtconstdscr" : 1, + "show_capitalcostso" : 1, + "const_per_interest1" : 8455304.851239752, + "batt_replacement_option" : 1, + "const_per_interest_rate1" : 6.5, + "itc_fed_amount_deprbas_fed" : 1, + "const_per_principal_total" : 520326452.38398468, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_name2" : "Loan 2", + "dispatch_data_filename" : "", + "ur_demand_reactive_power_charge" : 0, + "const_per_upfront_rate1" : 1, + "pbi_fed_amount" : [ 0 ], + "const_per_interest_rate2" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_interest5" : 0, + "const_per_interest_total" : 8455304.851239752, + "const_per_interest_rate4" : 0, + "const_per_interest_rate5" : 0, + "const_per_upfront_rate5" : 0, + "depr_bonus_sta_sl_39" : 0, + "const_per_months1" : 6, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "const_per_upfront_rate3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "ibi_oth_amount_tax_fed" : 1, + "ur_nm_credit_rollover" : 0, + "lib_dispatch_factor5" : 1, + "const_per_total3" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_months2" : 0, + "const_per_months4" : 0, + "lib_dispatch_factor7" : 1, + "const_per_total1" : 13658569.375079598, + "const_per_months5" : 0, + "ur_is_default" : 0, + "const_per_name1" : "Loan 1", + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 13658569.375079598, + "const_per_name4" : "Loan 4", + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "const_per_percent4" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "show_reserveaccounts" : 1, + "ur_billing_demand_is_shown" : 0, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "const_per_percent1" : 100, + "const_per_percent2" : 0, + "depr_bonus_sta_macrs_5" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "const_per_percent3" : 0, + "pbi_oth_escal" : 0, + "const_per_percent_total" : 100, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, + "ibi_sta_amount" : 0, + "mera_name3" : "Replacement Reserve 3", + "ur_desc_is_shown" : 0, + "const_per_percent5" : 0, + "const_per_principal2" : 0, + "is_btm" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "const_per_principal3" : 0, + "const_per_principal4" : 0, + "const_per_principal5" : 0, + "lib_dispatch_factor2" : 1, + "const_per_total4" : 0, + "lib_dispatch_factor3" : 1, + "const_per_total5" : 0, + "show_construction_period" : 1, + "ibi_oth_amount" : 0, + "ur_unused_is_shown" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "wind_total_installed_cost" : 292032000, + "mera_cost1" : 27692307.692307696, + "depr_bonus_fed_sl_39" : 0, + "mera_cost3" : 0, + "reserves_interest" : 1.25, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, + "ppa_price_input" : [ 0.050000000000000003 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "en_electricity_rates" : 1, + "battery_total_installed_cost" : 111899952.38398466, + "ibi_sta_amount_deprbas_sta" : 0, + "revenue_tod_is_shown" : 1, + "itc_fed_amount" : [ 0 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "ur_end_date" : "empty", + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "lib_dispatch_factor1" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "ac_nameplate" : 76923.076923076922, + "pbi_sta_amount" : [ 0 ], + "tod_library" : "Uniform Dispatch", + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "lib_dispatch_factor6" : 1, + "lib_dispatch_factor8" : 1, + "lib_dispatch_factor9" : 1, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "revenue_capacity_payments_is_shown" : 0, + "ur_energy_history" : 0, + "ui_system_nameplate_standalone" : 0, + "cp_battery_nameplate" : 0, + "ptc_fed_term" : 10, + "cp_capacity_credit_percent" : [ 0 ], + "pbi_oth_amount" : [ 0 ], + "ibi_sta_percent_deprbas_sta" : 0, + "cp_system_nameplate" : 276.92307692307696, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "revenue_curtailment_is_shown" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], + "itc_sta_percent_deprbas_sta" : 0, + "ur_fuel_adjustments_monthly" : [ 0 ], + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_fed_tax_fed" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_fed" : 0, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "ui_electricity_rate_option" : 1, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ur_voltage_min" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ur_ratedata_filename" : "", + "ur_energy_attrs" : "", + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ur_fixed_attrs" : "", + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "ur_energy_max" : 0, + "depr_itc_sta_sl_5" : 0, + "chk_update_peaks" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "ur_ec_is_shown" : 1, + "depr_itc_fed_sl_15" : 0, + "depr_itc_sta_sl_20" : 0, + "ur_voltage_category" : "", + "pbi_sta_escal" : 0, + "pbi_sta_tax_sta" : 1, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_none" : 0, + "ur_demand_window" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_has_unused_items" : 0, + "ur_monthly_min_charge" : 0, + "ur_demand_max" : 0, + "ur_description" : "", + "ur_energy_min" : 0, + "ur_utility" : "empty", + "ur_phase_wiring" : "", + "ur_schedule_name" : "empty", + "ur_start_date" : "empty", + "ur_uri" : "empty", + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_billing_demand_minimum" : 0, + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_demand_attrs" : "", + "ur_service_type" : "", + "pv_total_installed_cost" : 116394500, + "number table entries" : 378 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} From 419d0d97b3aa04886d2415f9f944f3d6c842aa32 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Sun, 3 Mar 2024 05:37:06 -0700 Subject: [PATCH 16/24] Update hybrid code generation test --- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 629 ++++++++++++++++-- ...rid_Single_Owner_hybridize_ssc_inputs.json | 475 +++++++++++++ test/ssc_test/cmod_hybrid_test.cpp | 3 +- 3 files changed, 1065 insertions(+), 42 deletions(-) create mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json index f370714c3..25448e6a0 100644 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -2,232 +2,672 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "windpower" : { - "turb_specific_loss" : 0.81000000000000005, + "step" : 0, + "total_installed_cost_per_kw" : 1460.1600000000001, "wind_resource_distribution" : [ [ 0 ] ], - "avail_bop_loss" : 0.5, + "ui_step_minutes" : 60, + "bos_cost_total" : 69552000, "weibull_wind_speed" : 7.25, - "wind_resource_model_choice" : 0, - "wind_turbine_max_cp" : 0.45000000000000001, + "bos_cost_per_kw" : 347.75999999999999, + "wind_climate.url_info" : "empty", + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, "env_degrad_loss" : 1.8, - "adjust_en_timeindex" : 0, + "wind_climate.msg_is_error" : 0, + "wind.turbine.elevation" : 0, + "wind_resource.requested_ht" : 80, + "degradation" : [ 0 ], "weibull_reference_height" : 50, + "wind.turbine.radio_list_or_design" : 0, "avail_grid_loss" : 1.5, + "a_error_test_number" : 17.344999999999999, "wind_turbine_rotor_diameter" : 100, - "turb_perf_loss" : 1.1000000000000001, + "use_specific_wf_wind" : 0, + "adjust_en_timeindex" : 0, + "wind_resource.country" : "USA", + "user_specified_wf_wind" : " ", + "wind_resource.elev" : 1829, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "wind_climate.msg" : "", + "wind_resource.closest_speed_meas_ht" : 80, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_resource.city" : "city??", + "wind_resource.closest_dir_meas_ht" : 80, + "wind.turbine.max_tip_speed" : 80, + "wind.turbine.dummy" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource.lon_requested" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, + "est_bos_cost" : 0, + "wind_resource_model_choice" : 0, + "resource_definition_type" : 0, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_rated_wind_speed" : 2500, + "wind.turbine.tower_design" : 0, + "windfarm.farm.offset" : 4, + "wind_resource.lat_requested" : 0, + "wind_turbine_kw_rating_input" : 1500, + "wind_resource.lon" : 0, + "wind_farm_sizing_mode" : 2, + "wind_turbine_rotor_diameter_from_lib" : 100, + "wind_resource.lat" : 0, "wind_turbine_hub_ht" : 80, - "avail_turb_loss" : 3.5800000000000001, + "wind_resource.year" : 1900, + "wind_resource.location_id" : "loc_id", + "wind.turbine.name_only" : "0", + "wind.turbine.blade_design" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "wind_resource.state" : "AZ", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_per_kw" : 1112.4000000000001, + "wind_turbine_cutin" : 4, + "wind_turbine_kw_rating_from_lib" : 2500, "adjust_constant" : 0, "weibull_k_factor" : 2, + "a_error_test_string" : "\"default err msg\"", + "wind.turbine.drive_train" : 0, + "wind.turbine.region2nhalf_slope" : 5, + "wind_turbine_cut_out" : 25, + "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_err_msg" : "", + "wind_turbine_kw_rating" : 2500, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_selection" : "GE 2.5xl", "wind_farm_wake_model" : 0, + "om_production_escal" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wake_loss" : 0, + "desired_farm_size" : 10, + "adjust" : 0, "adjust_en_periods" : 0, + "cols" : 1, "adjust_periods" : [ [ 0, 0, 0 ] ], + "sales_tax_basis" : 0, + "turbine_cost_per_turbine" : 0, "adjust_timeindex" : [ 0 ], + "rows" : 1, + "sizing_warning" : 0, + "specify_label" : 1, "system_capacity" : 200000, + "wind_farm_num_turbines" : 80, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_farm_xcoord_file" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "windfarm.farm.layout_angle" : 0, + "bos_cost_per_turbine" : 0, + "wind_farm_ycoord_file" : [ 0 ], + "analysis_period" : 25, "ops_load_loss" : 0.98999999999999999, + "windfarm.farm.layout_slider" : 33, + "windfarm.farm.number_of_rows" : 10, + "windfarm.farm.offset_type" : 0, + "windfarm.farm.row_spacing" : 8, + "windfarm.layout.file_or_controls" : 1, + "windfarm.farm.shape" : 0, + "windfarm.farm.turbine_spacing" : 8, + "windfarm.farm.turbines_per_row" : 8, + "avail_bop_loss" : 0.5, + "avail_turb_loss" : 3.5800000000000001, + "avail_loss_total" : 5.5011684999999977, "wake_future_loss" : 0, "elec_parasitic_loss" : 0.10000000000000001, - "ops_strategies_loss" : 0, "elec_eff_loss" : 1.9099999999999999, - "turb_generic_loss" : 1.7, + "elec_loss_total" : 2.0080899999999957, "env_env_loss" : 0.40000000000000002, "env_exposure_loss" : 0, - "ops_grid_loss" : 0.83999999999999997, "env_icing_loss" : 0.20999999999999999, + "ops_loss_total" : 2.8034671600000061, + "wake_loss_total" : 1.100000000000001, + "turb_loss_total" : 3.9544963841199987, + "turb_generic_loss" : 1.7, + "env_loss_total" : 2.3981951200000018, "ops_env_loss" : 1, + "ops_grid_loss" : 0.83999999999999997, + "ops_strategies_loss" : 0, + "turb_perf_loss" : 1.1000000000000001, + "turb_specific_loss" : 0.81000000000000005, + "turbine_cost_total" : 222480000.00000003, "wake_ext_loss" : 1.1000000000000001, "wake_int_loss" : 0, - "number table entries" : 40 + "system_use_lifetime_output" : 0, + "install_type" : 0, + "sales_tax_total" : 0, + "bos_cost_fixed" : 0, + "reference_capacity" : 200000, + "turbine_cost_fixed" : 0, + "est_turbine_cost" : 0, + "reference_number_turbines" : 80, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "om_capacity" : [ 40 ], + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "sales_tax_rate" : 5, + "number table entries" : 150 }, "pvwattsv8" : { + "ui_land_area_ha" : 263.15847360564504, + "annual_twet" : "nan", "array_type" : 2, + "use_specific_weather_file" : 0, "dc_ac_ratio" : 1.3, - "shading_timestep" : [ [ 0 ] ], - "shading_en_diff" : 0, + "in_nsrdb_options" : 0, + "annual_global" : 5.7947616438356171, + "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "losses" : 14.075660688264469, + "annual_albedo" : 0.18395068539332038, "shading_azal" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "module_total" : 39000000, + "annual_beam" : 7.3356438356164393, + "state" : "-", + "annual_diffuse" : 1.3484328767123288, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "inverter_total" : 5000000, + "country" : "-", "adjust_en_periods" : 0, - "shading_string_option" : 0, + "loss_snow" : 0, + "ui_array_land_area_acres" : 650.27774620322919, "inv_eff" : 96, + "ui_total_module_area_ha" : 52.631578947368418, + "in_time_step" : 0, + "shading_en_diff" : 0, + "annual_snow" : "nan", + "module_costunits" : 0, + "loss_lid" : 1.5, + "loss_soiling" : 2, + "library_folder_list" : "x", + "landprep_fixed" : 0, + "annual_tdry" : 21.938470319634703, + "loss_mismatch" : 2, + "permitting_fixed" : 0, + "shading_string_option" : 0, + "total_land_area" : 650.27774620322919, + "solar_data_source" : "NSRDB", + "annual_wspd" : 1.7864840188646289, + "city" : "-", + "station_id" : "78208", + "ui_land_area_multiplier" : 0, + "elev" : 358, + "in_location_options" : 0, + "total_module_area" : 526315.78947368416, + "landprep_total" : 1000000, + "loss_avail" : 3, + "step" : 3600, + "install_margin_perwatt" : 0.12, + "subtotal_direct" : 103000000, + "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", "analysis_period" : 25, + "in_location_list" : "", + "is_advanced" : 0, + "lat" : 33.450000000000003, + "ui_land_area_per_mw" : 0, + "library_paths" : "", "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "per_inverter" : 0.050000000000000003, + "loss_age" : 0, + "om_production_escal" : 0, + "lon" : -111.98, + "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "engr_total" : 2000000, + "ac_nameplate" : 76923.076923076922, "batt_simple_enable" : 0, + "tz" : -7, + "land_percent" : 0, + "grid_percent" : 0, + "user_specified_weather_file" : "", + "wf_nrecords" : 8760, "azimuth" : 180, + "permitting_total" : 0, "gcr" : 0.29999999999999999, + "grid_fixed" : 0, + "en_user_spec_losses" : 0, "shading_mxh" : [ [ 0 ] ], + "loss_conn" : 0.5, + "loss_nameplate" : 1, + "loss_shading" : 3, + "loss_wiring" : 2, "tilt" : 0, + "losses_user" : 14, "module_type" : 0, "system_capacity" : 100000, - "shading_diff" : 0, + "ui_array_land_area_multiplier" : 1, + "installed_per_capacity" : 1.163945, "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ui_ac_capacity" : 76.92307692307692, + "om_capacity" : [ 15 ], + "module_num_units" : 1, + "ui_use_acre_per_mw" : 0, + "ui_land_area_additional_units" : 0, + "ui_array_land_area_ha" : 263.15847360564504, + "total_installed_cost" : 116394500, + "pvwatts.advanced.is_shown" : 0, "shading_en_timestep" : 0, + "ui_land_area_additional" : 0, + "ui_total_land_area_ha" : 263.15847360564504, + "inverter_num_units" : 1, + "ui_total_module_area" : 526315.78947368416, "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_per_acre" : 0, + "inflation_rate" : 2.5, + "ui_total_module_area_acres" : 130.05534895540842, + "pv_land_area_is_shown" : 0, "adjust_en_timeindex" : 0, + "adjust" : 0, + "shading_diff" : 0, "adjust_constant" : 0, "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "contingency" : 3090000, "shading_en_azal" : 0, "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "module_is_bifacial" : 0, + "shading" : 0, + "land_per_watt" : 0, "shading_en_mxh" : 0, "shading_en_string_option" : 0, + "sales_tax_rate" : 5, + "shading_timestep" : [ [ 0 ] ], + "user_specified_constant_albedo" : 0.20000000000000001, + "landprep_per_watt" : 0.01, "use_wf_albedo" : 1, "system_use_lifetime_output" : 0, - "number table entries" : 33 + "degradation" : [ 0.5 ], + "install_margin_perarea" : 0, + "bos_equip_perarea" : 0, + "bos_equip_fixed" : 0, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "contingency_percent" : 3, + "bos_equip_total" : 28999999.999999996, + "engr_fixed" : 0, + "om_fixed" : [ 0 ], + "engr_per_watt" : 0.02, + "engr_percent" : 0, + "sales_tax_percent" : 100, + "om_fixed_escal" : 0, + "grid_per_watt" : 0.02, + "permitting_percent" : 0, + "grid_total" : 2000000, + "install_labor_total" : 18000000, + "install_labor_fixed" : 0, + "install_labor_perarea" : 0, + "install_labor_perwatt" : 0.17999999999999999, + "install_margin_fixed" : 0, + "install_margin_total" : 12000000, + "inverter_costunits" : 1, + "inverter_power" : 76923.076923076922, + "inverterarray_power" : 76923.076923076922, + "land_area_value" : 650.27774620322919, + "land_fixed" : 0, + "land_total" : 0, + "landprep_percent" : 0, + "module_power" : 100000, + "modulearray_area" : 526315.78947368416, + "modulearray_power" : 100000, + "per_module" : 0.39000000000000001, + "total_direct_cost" : 106090000, + "sales_tax_total" : 5304500, + "permitting_per_watt" : 0, + "sales_tax_value" : 5, + "total_indirect_cost" : 5000000, + "om_capacity_escal" : 0, + "om_production" : [ 0 ], + "land_area" : 650.27774620322919, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "ui_land_area_units" : 0, + "ui_land_lease" : [ 0 ], + "number table entries" : 175 }, "battery" : { + "battery_voltage_shown" : 0, + "compute_as_cube" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_wf_forecast_choice" : 0, + "batt_bank_power_dc_ac" : 0, + "pv.storage.p4.charge" : 0, + "batt_qnom_percent" : 97.6875, + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_dispatch_pvs_kp" : 1.2, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "leadacid_q10" : 93, "batt_cycle_cost_choice" : 0, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_room_temperature_celsius" : [ 25 ], - "batt_resistance" : 0.001155, - "ppa_price_input" : [ 0.050000000000000003 ], + "batt_room_temperature_single" : 25, + "batt_bank_nstrings" : 1, + "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], + "leadacid_q20" : 100, + "leadacid_qn" : 60, + "battery_per_kw" : 236, "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "pv.storage.p3.discharge" : 0, + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_c_rate_max_discharge" : 0.25, + "pv.storage.p6.dischargetogrid" : 0, + "batt_volume" : 3428.5692342857146, + "batt_ui_inverter_eff" : 96, + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, "batt_computed_bank_capacity" : 239999.84640000004, "batt_power_discharge_max_kwdc" : 59999.96160000001, + "pv.storage.p3.charge" : 0, + "add_om_num_types" : 1, "batt_chem" : 1, - "inflation_rate" : 2.5, - "batt_replacement_capacity" : 50, + "batt_cell_current_charge_max" : 10, "om_replacement_cost_escal" : 0, + "genericsys.cost.contingency_percent" : 3, + "pv.storage.p5.gridcharge" : 0, "batt_life_model" : 1, "batt_dispatch_pvs_ac_ub" : 1.05, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_series" : 139, - "batt_h_to_ambient" : 100, + "batt_c_rate_max_charge_input" : 0.5, "om_batt_variable_cost" : [ 0 ], - "ppa_escalation" : 1, + "genericsys.cost.plm.nonfixed" : 3305061.884759041, + "om_batt_nameplate" : 239999.84640000004, + "batt_type" : 5, + "batt_bank_duration" : 0, "batt_surface_area" : 17999.988480000004, "batt_loss_choice" : 0, + "batt_unit_capacity" : 400, "batt_meter_position" : 1, - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, + "batt_maximum_soc" : 95, + "batt_cell_power_charge_max" : 2, + "batt_bank_size_specify" : 100, + "batt_bank_nseries_stacks" : 1, + "batt_bank_size" : 240000, + "batt_discharge_percent_1" : 50, "batt_dispatch_auto_can_gridcharge" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_computed_voltage" : 500.40000000000003, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_discharge_percent_2" : 25, + "batt_vfull" : 4.2000000000000002, + "batt_bank_ncells_serial" : 3, "batt_computed_strings" : 149880, "en_standalone_batt" : 0, "batt_current_discharge_max" : 119904, - "batt_dc_dc_efficiency" : 99, + "pv.storage.p3.dischargetogrid" : 0, + "batt_bank_voltage" : 500, + "ui_copy_batt_discharge_percent_5" : 25, + "batt_qfull_flow" : 479616.00000000006, + "batt_size_choice" : 0, "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_bank_power" : 60000, + "battery_total" : 91679941.324800014, + "batt_initial_soc" : 50, + "total_direct_cost" : 94430339.564544022, + "batt_cell_current_discharge_max" : 10, + "batt_c_rate_max_charge" : 0.25, + "batt_duration_choice" : 0, + "genericsys.cost.epc.fixed" : 0, "batt_inverter_efficiency_cutoff" : 90, + "genericsys.cost.epc.total" : 9443033.9564544018, + "batt_thermal_choice" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_bank_size_ui" : 240000, + "batt_qfull" : 3.2000000000000002, + "batt_cell_power_discharge_max" : 2, + "batt_time_capacity" : 4, + "batt_computed_stacks_series" : 0, "batt_current_charge_max" : 119904, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_pvs_battery_energy" : 239999.84640000004, + "batt_discharge_percent_6" : 25, "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_num_cells" : 20833320, "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_calendar_c" : 939, "batt_ac_dc_efficiency" : 96, "batt_power_charge_max_kwdc" : 59999.96160000001, "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_ui_nominal_bank_power" : 59999.96160000001, + "batt_dc_dc_efficiency" : 99, + "batt_c_rate" : 0.20000000000000001, "batt_voltage_choice" : 0, + "batt_qexp_percent" : 80.75, + "batt_vexp" : 3.5299999999999998, + "batt_resistance" : 0.001155, "dispatch_manual_percent_discharge" : [ 25, 0 ], "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_3" : 100, + "batt_vnom" : 3.3420000000000001, + "batt_ui_bank_voltage" : 500.40000000000003, + "genericsys.cost.epc.nonfixed" : 9443033.9564544018, + "batt_ui_cell_voltage" : 3.6000000000000001, + "pv.storage.p6.discharge" : 0, "batt_losses" : [ 0 ], "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_replacement_schedule_percent" : [ 0 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "battery_losses_shown" : 0, "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_room_temperature_vector" : [ 0 ], "batt_mass" : 2376236.1029702974, "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_calendar_choice" : 1, + "batt_h_to_ambient" : 100, + "batt_specific_energy_per_mass" : 101, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_specific_energy_per_volume" : 70, + "batt_unit_surface_area" : 30, + "battery_thermal_shown" : 0, "batt_calendar_a" : 0.00266, + "genericsys.cost.sales_tax.total" : 4721516.9782272009, "batt_calendar_b" : -7280, + "battery_indirect_cost_percent" : 0, "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "batt_pvs_user_specified_weather_file" : "", + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_life_excl" : 1, + "batt_replacement_capacity" : 50, "batt_replacement_option" : 1, + "pv.storage.p2.charge" : 0, + "ui_batt_life_model" : 0, "batt_cycle_cost" : [ 0 ], + "genericsys.cost.epc.percent" : 10, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], "batt_look_ahead_hours" : 18, + "pv.storage.p1.discharge" : 0, + "batt_user_specified_weather_file" : "", "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "genericsys.cost.per_watt" : 0, "batt_dispatch_pvs_ac_ub_enable" : 0, + "pv.storage.p4.gridcharge" : 0, + "batt_dispatch_pvs_battery_power" : 62499.960000000014, + "om_batt_fixed_cost" : [ 0 ], + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_gridcharge_percent_1" : 100, + "battery_energy" : 239999.84640000004, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_interconnection_limit" : 20000, "batt_dispatch_pvs_ki" : 1.8, "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate" : 276923.07692307694, "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, "batt_dispatch_pvs_short_forecast_enable" : 0, "batt_dispatch_pvs_soc_rest" : 50, "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_pvs_wf_timestep" : 60, "batt_pv_clipping_forecast" : [ 0 ], + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_gridcharge_percent_6" : 100, "batt_custom_dispatch" : [ 0 ], + "batt_discharge_percent_3" : 25, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_discharge_percent_4" : 25, "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_5" : 25, + "genericsys.cost.sales_tax.value" : 5, + "batt_gridcharge_percent_2" : 100, + "batt_gridcharge_percent_4" : 100, + "batt_gridcharge_percent_5" : 100, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], "analysis_period" : 25, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_auto_can_clipcharge" : 0, "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pv.storage.p1.charge" : 1, + "pv.storage.p1.dischargetogrid" : 0, + "pv.storage.p5.charge" : 0, + "pv.storage.p1.gridcharge" : 0, + "pv.storage.p2.discharge" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "ui_copy_batt_discharge_percent_2" : 25, + "pv.storage.p2.gridcharge" : 0, + "ui_copy_batt_discharge_percent_1" : 50, + "pv.storage.p3.gridcharge" : 0, + "pv.storage.p4.discharge" : 0, + "pv.storage.p4.dischargetogrid" : 0, + "pv.storage.p5.dischargetogrid" : 0, + "pv.storage.p6.charge" : 0, + "pv.storage.p6.gridcharge" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "batt_dispatch_excl" : 3, + "batt_minimum_soc" : 10, "batt_minimum_modetime" : 10, "om_production_escal" : 0, "grid_interconnection_limit_kwac" : 20000, "batt_dispatch_auto_can_charge" : 1, "batt_dispatch_choice" : 3, + "batt_dispatch_choice_ui" : 3, + "genericsys.cost.contingency" : 2750398.2397440001, + "battery_per_kwh" : 323, + "battery_power" : 59999.96160000001, + "battery_total_cost_lcos" : 111899952.38398466, + "sales_tax_rate" : 5, + "genericsys.cost.installed_per_capacity" : 1.94270875, + "pv_ui_step_minutes" : 60, + "genericsys.cost.plm.fixed" : 0, + "genericsys.cost.plm.percent" : 3.5, + "genericsys.cost.plm.total" : 3305061.884759041, + "genericsys.cost.sales_tax.percent" : 100, + "total_indirect_cost" : 12748095.841213442, "om_batt_replacement_cost" : [ 323 ], + "system_capacity" : 57599.963136000006, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "wind_ui_step_minutes" : 60, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "number table entries" : 93 + "hybrid_system_capacity" : 276923.07692307694, + "number table entries" : 253 }, "hybrid" : { - "depr_bonus_fed_custom" : 0, + "ui_generic_capacity" : 0, "flip_target_year" : 20, - "om_capacity" : 0, + "depr_bonus_fed_custom" : 0, + "ui_wacc" : 5.3872800000000014, + "ui_wind_cost" : 292032000, + "ui_battery_capacity" : 57599.963136000006, "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_source" : "empty", + "wind_system_capacity" : 200000, + "om_capacity" : 0, "ibi_sta_amount_deprbas_fed" : 0, "ibi_oth_amount_tax_sta" : 1, + "const_per_months3" : 0, "cost_other_financing" : 0, + "ui_generic_cost" : 0, "cp_capacity_payment_type" : 0, "inflation_rate" : 2.5, "depr_bonus_fed" : 0, "flip_target_percent" : 11, "cp_capacity_payment_esc" : 0, + "ur_name" : "", + "const_per_principal1" : 520326452.38398468, "term_int_rate" : 4, "property_tax_rate" : 0, "ptc_fed_escal" : 0, "months_working_reserve" : 6, "system_capacity" : 276923.07692307694, "ibi_fed_percent_maxvalue" : 0, + "ui_fuel_cell_cost" : 0, "pbi_oth_tax_sta" : 1, + "const_per_interest_rate3" : 0, "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, "enable_interconnection_limit" : 0, "equip3_reserve_freq" : 0, + "ui_battery_cost" : 111899952.38398466, "ibi_oth_percent_tax_fed" : 1, "dscr_maximum_debt_fraction" : 100, + "nominal_discount_rate" : 9.0600000000000023, + "mera_name2" : "Replacement Reserve 2", + "ui_fuel_cell_capacity" : 0, "ptc_sta_amount" : [ 0 ], + "const_per_interest4" : 0, "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, + "const_per_interest3" : 0, "debt_option" : 1, "real_discount_rate" : 6.4000000000000004, "itc_sta_amount" : [ 0 ], "depr_alloc_sl_15_percent" : 0, "rate_escalation" : [ 0 ], + "lib_dispatch_factor4" : 1, + "const_per_total2" : 0, "prop_tax_assessed_decline" : 0, "pbi_oth_for_ds" : 0, + "mera_cost2" : 0, + "hybrid_capital_cost" : 0, "cbi_fed_deprbas_sta" : 0, "itc_fed_percent_deprbas_sta" : 1, "depr_itc_sta_macrs_15" : 0, "ur_enable_billing_demand" : 0, "debt_percent" : 60, + "const_per_interest2" : 0, + "battery_system_capacity" : 57599.963136000006, + "ui_hyb_pv_capacity" : 76923.076923076922, "batt_salvage_percentage" : 0, "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "ibi_fed_amount_deprbas_sta" : 0, "salvage_percentage" : 0, "ibi_oth_amount_deprbas_sta" : 0, "depr_alloc_macrs_5_percent" : 100, + "const_per_name3" : "Loan 3", "total_installed_cost" : 520326452.38398468, + "property_assessed_value" : 520326452.38398468, "pbi_uti_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, + "ui_pv_cost" : 116394500, "cbi_uti_deprbas_fed" : 0, "depr_bonus_fed_macrs_15" : 0, + "ur_dc_is_shown" : 1, "om_fixed" : 0, "om_production" : 0, "term_tenor" : 18, @@ -235,10 +675,13 @@ "state_tax_rate" : [ 7 ], "analysis_period" : 25, "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period_warning" : "", + "mera_name1" : "Replacement Reserve 1", "federal_tax_rate" : [ 21 ], "equip_reserve_depr_sta" : 0, "equip3_reserve_cost" : 0, "dscr" : 1.3, + "ur_rate_notes" : "", "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_fed_maxvalue" : 0, "ibi_sta_percent" : 0, @@ -249,51 +692,111 @@ "prop_tax_cost_assessed_percent" : 100, "depr_itc_sta_sl_15" : 0, "ibi_fed_amount" : 0, + "sales_tax_rate" : 5, + "const_per_name5" : "Loan 5", "ptc_fed_amount" : [ 0 ], "ibi_uti_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, + "solution_mode_message" : "", "pbi_fed_tax_fed" : 1, + "salvage_value" : 0, "depr_alloc_sl_5_percent" : 0, "cbi_sta_amount" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "batt_salvage_value" : 0, + "ur_demand_history" : 0, "payment_option" : 0, "pbi_sta_for_ds" : 0, "pbi_fed_escal" : 0, "grid_curtailment_price_esc" : 0, "depr_custom_schedule" : [ 0 ], "dscr_limit_debt_fraction" : 0, + "const_per_upfront_rate2" : 0, "cost_debt_closing" : 0, + "ur_voltage_max" : 0, "ibi_oth_percent_tax_sta" : 1, "ibi_fed_amount_deprbas_fed" : 0, + "debt_message" : "", "cp_capacity_payment_amount" : [ 0 ], "loan_moratorium" : 0, "cbi_oth_tax_fed" : 1, + "show_debtconstdscr" : 1, + "show_capitalcostso" : 1, + "const_per_interest1" : 8455304.851239752, "batt_replacement_option" : 1, + "const_per_interest_rate1" : 6.5, "itc_fed_amount_deprbas_fed" : 1, + "const_per_principal_total" : 520326452.38398468, "ibi_sta_percent_deprbas_fed" : 0, + "const_per_name2" : "Loan 2", + "dispatch_data_filename" : "", + "ur_demand_reactive_power_charge" : 0, + "const_per_upfront_rate1" : 1, "pbi_fed_amount" : [ 0 ], + "const_per_interest_rate2" : 0, "ibi_oth_percent_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_interest5" : 0, + "const_per_interest_total" : 8455304.851239752, + "const_per_interest_rate4" : 0, + "const_per_interest_rate5" : 0, + "const_per_upfront_rate5" : 0, "depr_bonus_sta_sl_39" : 0, + "const_per_months1" : 6, "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "const_per_upfront_rate3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], "ibi_oth_amount_tax_fed" : 1, "ur_nm_credit_rollover" : 0, + "lib_dispatch_factor5" : 1, + "const_per_total3" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_months2" : 0, + "const_per_months4" : 0, + "lib_dispatch_factor7" : 1, + "const_per_total1" : 13658569.375079598, + "const_per_months5" : 0, + "ur_is_default" : 0, + "const_per_name1" : "Loan 1", "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, "construction_financing_cost" : 13658569.375079598, + "const_per_name4" : "Loan 4", "cbi_uti_maxvalue" : 0, "pbi_uti_escal" : 0, "depr_fedbas_method" : 1, + "const_per_percent4" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "show_reserveaccounts" : 1, + "ur_billing_demand_is_shown" : 0, "ibi_uti_amount_tax_sta" : 1, "ptc_sta_escal" : 0, + "const_per_percent1" : 100, + "const_per_percent2" : 0, "depr_bonus_sta_macrs_5" : 0, "itc_sta_percent_deprbas_fed" : 0, + "const_per_percent3" : 0, "pbi_oth_escal" : 0, + "const_per_percent_total" : 100, "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, "ibi_sta_amount" : 0, + "mera_name3" : "Replacement Reserve 3", + "ur_desc_is_shown" : 0, + "const_per_percent5" : 0, + "const_per_principal2" : 0, + "is_btm" : 0, "equip1_reserve_cost" : 0.10000000000000001, + "const_per_principal3" : 0, + "const_per_principal4" : 0, + "const_per_principal5" : 0, + "lib_dispatch_factor2" : 1, + "const_per_total4" : 0, + "lib_dispatch_factor3" : 1, + "const_per_total5" : 0, + "show_construction_period" : 1, "ibi_oth_amount" : 0, + "ur_unused_is_shown" : 0, "ibi_sta_percent_tax_fed" : 1, "dscr_reserve_months" : 6, "equip1_reserve_freq" : 15, @@ -301,7 +804,11 @@ "equip2_reserve_cost" : 0, "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "wind_total_installed_cost" : 292032000, + "mera_cost1" : 27692307.692307696, "depr_bonus_fed_sl_39" : 0, + "mera_cost3" : 0, "reserves_interest" : 1.25, "depr_itc_sta_custom" : 0, "ppa_escalation" : 1, @@ -312,18 +819,31 @@ "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, + "battery_total_installed_cost" : 111899952.38398466, "ibi_sta_amount_deprbas_sta" : 0, + "revenue_tod_is_shown" : 1, "itc_fed_amount" : [ 0 ], "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_tax_fed" : 1, + "ur_end_date" : "empty", "depr_bonus_sta_custom" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "lib_dispatch_factor1" : 1, "pbi_sta_tax_fed" : 1, "depr_bonus_fed_sl_15" : 0, + "ac_nameplate" : 76923.076923076922, "pbi_sta_amount" : [ 0 ], + "tod_library" : "Uniform Dispatch", "ur_nm_yearend_sell_rate" : 0, "depr_itc_sta_macrs_5" : 0, + "lib_dispatch_factor6" : 1, + "lib_dispatch_factor8" : 1, + "lib_dispatch_factor9" : 1, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, + "revenue_capacity_payments_is_shown" : 0, + "ur_energy_history" : 0, + "ui_system_nameplate_standalone" : 0, "cp_battery_nameplate" : 0, "ptc_fed_term" : 10, "cp_capacity_credit_percent" : [ 0 ], @@ -332,6 +852,7 @@ "cp_system_nameplate" : 276.92307692307696, "grid_curtailment_price" : [ 0 ], "depr_bonus_fed_sl_5" : 0, + "revenue_curtailment_is_shown" : 0, "itc_fed_amount_deprbas_sta" : 1, "itc_fed_percent" : [ 30 ], "ptc_sta_term" : 10, @@ -340,6 +861,7 @@ "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, + "ur_fuel_adjustments_monthly" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "depr_alloc_macrs_15_percent" : 0, @@ -354,13 +876,17 @@ "cbi_oth_amount" : 0, "depr_bonus_fed_sl_20" : 0, "cbi_oth_deprbas_fed" : 0, + "batt_power_discharge_max_kwac" : 57599.963136000006, "cbi_oth_deprbas_sta" : 0, "pbi_fed_tax_sta" : 1, "cbi_oth_maxvalue" : 0, + "ui_electricity_rate_option" : 1, "cbi_oth_tax_sta" : 1, "cbi_sta_deprbas_fed" : 0, "cbi_sta_deprbas_sta" : 0, + "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, + "ur_voltage_min" : 0, "ibi_fed_amount_tax_fed" : 1, "cbi_uti_amount" : 0, "ibi_uti_percent" : 0, @@ -370,6 +896,8 @@ "ibi_fed_percent_deprbas_sta" : 0, "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, + "ur_ratedata_filename" : "", + "ur_energy_attrs" : "", "ibi_fed_percent_tax_sta" : 1, "ibi_sta_amount_tax_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, @@ -377,16 +905,21 @@ "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, "ibi_oth_percent_deprbas_fed" : 0, + "ur_fixed_attrs" : "", "ibi_sta_percent_maxvalue" : 0, "ibi_uti_amount" : 0, "ur_nm_credit_month" : 0, "ibi_uti_percent_tax_sta" : 1, + "ur_energy_max" : 0, "depr_itc_sta_sl_5" : 0, + "chk_update_peaks" : 0, "pbi_fed_term" : 0, "pbi_oth_tax_fed" : 1, "pbi_oth_term" : 0, + "ur_ec_is_shown" : 1, "depr_itc_fed_sl_15" : 0, "depr_itc_sta_sl_20" : 0, + "ur_voltage_category" : "", "pbi_sta_escal" : 0, "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], @@ -395,6 +928,8 @@ "depr_alloc_custom_percent" : 0, "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, + "depr_alloc_none" : 0, + "ur_demand_window" : 0, "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_macrs_5" : 1, "depr_bonus_sta" : 0, @@ -412,14 +947,26 @@ "ur_en_ts_buy_rate" : 0, "ur_ts_buy_rate" : [ 0 ], "ur_annual_min_charge" : 0, + "ur_has_unused_items" : 0, "ur_monthly_min_charge" : 0, + "ur_demand_max" : 0, + "ur_description" : "", + "ur_energy_min" : 0, + "ur_utility" : "empty", + "ur_phase_wiring" : "", + "ur_schedule_name" : "empty", + "ur_start_date" : "empty", + "ur_uri" : "empty", "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_yearzero_usage_peaks" : [ 0 ], "ur_billing_demand_minimum" : 0, "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "number table entries" : 242 + "ur_demand_attrs" : "", + "ur_service_type" : "", + "pv_total_installed_cost" : 116394500, + "number table entries" : 378 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json new file mode 100644 index 000000000..5facd5022 --- /dev/null +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json @@ -0,0 +1,475 @@ +{ + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "windpower" : { + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, + "adjust_en_timeindex" : 0, + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_farm_wake_model" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "adjust_en_periods" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 40 ], + "system_capacity" : 200000, + "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "ops_load_loss" : 0.98999999999999999, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "env_icing_loss" : 0.20999999999999999, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "number table entries" : 50 + }, + "pvwattsv8" : { + "array_type" : 2, + "dc_ac_ratio" : 1.3, + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, + "om_land_lease" : [ 0 ], + "losses" : 14.075660688264469, + "shading_azal" : [ [ 0 ] ], + "shading_string_option" : 0, + "om_land_lease_escal" : 0, + "adjust_en_periods" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_production_escal" : 0, + "system_use_lifetime_output" : 0, + "batt_simple_enable" : 0, + "degradation" : [ 0.5 ], + "azimuth" : 180, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 0, + "module_type" : 0, + "system_capacity" : 100000, + "shading_diff" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "om_capacity" : [ 15 ], + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "total_installed_cost" : 116394500, + "shading_en_timestep" : 0, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_en_azal" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, + "om_production" : [ 0 ], + "shading_en_string_option" : 0, + "use_wf_albedo" : 1, + "om_fixed" : [ 0 ], + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, + "land_area" : 433.51782985136145, + "number table entries" : 44 + }, + "battery" : { + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_losses" : [ 0 ], + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_cycle_cost_choice" : 0, + "batt_room_temperature_celsius" : [ 25 ], + "batt_resistance" : 0.001155, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_computed_bank_capacity" : 239999.84640000004, + "batt_power_discharge_max_kwdc" : 59999.96160000001, + "add_om_num_types" : 1, + "batt_chem" : 1, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 1, + "batt_c_rate" : 0.20000000000000001, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "om_batt_variable_cost" : [ 0 ], + "om_batt_nameplate" : 239999.84640000004, + "batt_surface_area" : 17999.988480000004, + "batt_loss_choice" : 0, + "batt_meter_position" : 1, + "batt_maximum_soc" : 95, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_vfull" : 4.2000000000000002, + "batt_computed_strings" : 149880, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904, + "batt_dc_dc_efficiency" : 99, + "batt_qfull_flow" : 479616.00000000006, + "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_initial_soc" : 50, + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 119904, + "batt_qfull" : 3.2000000000000002, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 59999.96160000001, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_voltage_choice" : 0, + "batt_vexp" : 3.5299999999999998, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_vnom" : 3.3420000000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_mass" : 2376236.1029702974, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_h_to_ambient" : 100, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, + "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "batt_cycle_cost" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_custom_dispatch" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_minimum_soc" : 10, + "batt_minimum_modetime" : 10, + "om_production_escal" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "batt_dispatch_choice" : 3, + "om_batt_replacement_cost" : [ 323 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "number table entries" : 118 + }, + "hybrid" : { + "depr_bonus_fed_custom" : 0, + "flip_target_year" : 20, + "om_capacity" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, + "cp_capacity_payment_type" : 0, + "inflation_rate" : 2.5, + "depr_bonus_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.07692307694, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, + "cost_debt_fee" : 2.75, + "enable_interconnection_limit" : 0, + "equip3_reserve_freq" : 0, + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "ptc_sta_amount" : [ 0 ], + "itc_fed_percent_deprbas_fed" : 1, + "cbi_fed_deprbas_fed" : 0, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "prop_tax_assessed_decline" : 0, + "pbi_oth_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ibi_fed_amount_deprbas_sta" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "total_installed_cost" : 520326452.38398468, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "om_fixed" : 0, + "om_production" : 0, + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "federal_tax_rate" : [ 21 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "dscr" : 1.3, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ibi_sta_percent" : 0, + "pbi_sta_term" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "pbi_fed_tax_fed" : 1, + "depr_alloc_sl_5_percent" : 0, + "cbi_sta_amount" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "payment_option" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_curtailment_price_esc" : 0, + "depr_custom_schedule" : [ 0 ], + "dscr_limit_debt_fraction" : 0, + "cost_debt_closing" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "batt_replacement_option" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_amount_tax_fed" : 1, + "ur_nm_credit_rollover" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 13658569.375079598, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "depr_bonus_sta_macrs_5" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "pbi_oth_escal" : 0, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, + "ibi_sta_amount" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "ibi_oth_amount" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "depr_bonus_fed_sl_39" : 0, + "reserves_interest" : 1.25, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, + "ppa_price_input" : [ 0.050000000000000003 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "en_electricity_rates" : 1, + "ibi_sta_amount_deprbas_sta" : 0, + "itc_fed_amount" : [ 0 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "pbi_sta_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "cp_battery_nameplate" : 0, + "ptc_fed_term" : 10, + "cp_capacity_credit_percent" : [ 0 ], + "pbi_oth_amount" : [ 0 ], + "ibi_sta_percent_deprbas_sta" : 0, + "cp_system_nameplate" : 276.92307692307696, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], + "itc_sta_percent_deprbas_sta" : 0, + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_fed_tax_fed" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_fed" : 0, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "depr_itc_sta_sl_20" : 0, + "pbi_sta_escal" : 0, + "pbi_sta_tax_sta" : 1, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_billing_demand_minimum" : 0, + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "number table entries" : 242 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 912ee3b5f..653eadcb5 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -263,7 +263,8 @@ TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); +// EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); // check this with patch results. + EXPECT_NEAR(npv, -307199232, 307199232 * 0.001); } ssc_data_free(dat); dat = nullptr; From 8a638e6ceafb8fbf8153508370919d527a2b5779 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Sun, 3 Mar 2024 05:39:19 -0700 Subject: [PATCH 17/24] ssc hybridized code generated JSON file from SAM Run all ssc tests --- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 581 ++---------------- test/main.cpp | 2 +- 2 files changed, 41 insertions(+), 542 deletions(-) diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json index 25448e6a0..5facd5022 100644 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -2,672 +2,278 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "windpower" : { - "step" : 0, - "total_installed_cost_per_kw" : 1460.1600000000001, + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, "wind_resource_distribution" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "bos_cost_total" : 69552000, + "avail_bop_loss" : 0.5, "weibull_wind_speed" : 7.25, - "bos_cost_per_kw" : 347.75999999999999, - "wind_climate.url_info" : "empty", - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, "env_degrad_loss" : 1.8, - "wind_climate.msg_is_error" : 0, - "wind.turbine.elevation" : 0, - "wind_resource.requested_ht" : 80, - "degradation" : [ 0 ], + "adjust_en_timeindex" : 0, "weibull_reference_height" : 50, - "wind.turbine.radio_list_or_design" : 0, + "degradation" : [ 0 ], "avail_grid_loss" : 1.5, - "a_error_test_number" : 17.344999999999999, "wind_turbine_rotor_diameter" : 100, - "use_specific_wf_wind" : 0, - "adjust_en_timeindex" : 0, - "wind_resource.country" : "USA", - "user_specified_wf_wind" : " ", - "wind_resource.elev" : 1829, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "wind_climate.msg" : "", - "wind_resource.closest_speed_meas_ht" : 80, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_resource.city" : "city??", - "wind_resource.closest_dir_meas_ht" : 80, - "wind.turbine.max_tip_speed" : 80, - "wind.turbine.dummy" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_resource.lon_requested" : 0, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, "wind_turbine_max_cp" : 0.45000000000000001, - "est_bos_cost" : 0, "wind_resource_model_choice" : 0, - "resource_definition_type" : 0, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_rated_wind_speed" : 2500, - "wind.turbine.tower_design" : 0, - "windfarm.farm.offset" : 4, - "wind_resource.lat_requested" : 0, - "wind_turbine_kw_rating_input" : 1500, - "wind_resource.lon" : 0, - "wind_farm_sizing_mode" : 2, - "wind_turbine_rotor_diameter_from_lib" : 100, - "wind_resource.lat" : 0, "wind_turbine_hub_ht" : 80, - "wind_resource.year" : 1900, - "wind_resource.location_id" : "loc_id", - "wind.turbine.name_only" : "0", - "wind.turbine.blade_design" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "wind_resource.state" : "AZ", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "avail_turb_loss" : 3.5800000000000001, "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_per_kw" : 1112.4000000000001, - "wind_turbine_cutin" : 4, - "wind_turbine_kw_rating_from_lib" : 2500, "adjust_constant" : 0, "weibull_k_factor" : 2, - "a_error_test_string" : "\"default err msg\"", - "wind.turbine.drive_train" : 0, - "wind.turbine.region2nhalf_slope" : 5, - "wind_turbine_cut_out" : 25, - "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_err_msg" : "", - "wind_turbine_kw_rating" : 2500, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_selection" : "GE 2.5xl", "wind_farm_wake_model" : 0, - "om_production_escal" : 0, "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_loss" : 0, - "desired_farm_size" : 10, - "adjust" : 0, "adjust_en_periods" : 0, - "cols" : 1, "adjust_periods" : [ [ 0, 0, 0 ] ], - "sales_tax_basis" : 0, - "turbine_cost_per_turbine" : 0, "adjust_timeindex" : [ 0 ], - "rows" : 1, - "sizing_warning" : 0, - "specify_label" : 1, + "om_capacity" : [ 40 ], "system_capacity" : 200000, - "wind_farm_num_turbines" : 80, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_farm_xcoord_file" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "windfarm.farm.layout_angle" : 0, - "bos_cost_per_turbine" : 0, - "wind_farm_ycoord_file" : [ 0 ], - "analysis_period" : 25, "ops_load_loss" : 0.98999999999999999, - "windfarm.farm.layout_slider" : 33, - "windfarm.farm.number_of_rows" : 10, - "windfarm.farm.offset_type" : 0, - "windfarm.farm.row_spacing" : 8, - "windfarm.layout.file_or_controls" : 1, - "windfarm.farm.shape" : 0, - "windfarm.farm.turbine_spacing" : 8, - "windfarm.farm.turbines_per_row" : 8, - "avail_bop_loss" : 0.5, - "avail_turb_loss" : 3.5800000000000001, - "avail_loss_total" : 5.5011684999999977, "wake_future_loss" : 0, "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, "elec_eff_loss" : 1.9099999999999999, - "elec_loss_total" : 2.0080899999999957, + "turb_generic_loss" : 1.7, "env_env_loss" : 0.40000000000000002, "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, "env_icing_loss" : 0.20999999999999999, - "ops_loss_total" : 2.8034671600000061, - "wake_loss_total" : 1.100000000000001, - "turb_loss_total" : 3.9544963841199987, - "turb_generic_loss" : 1.7, - "env_loss_total" : 2.3981951200000018, "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_strategies_loss" : 0, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "turbine_cost_total" : 222480000.00000003, "wake_ext_loss" : 1.1000000000000001, "wake_int_loss" : 0, - "system_use_lifetime_output" : 0, - "install_type" : 0, - "sales_tax_total" : 0, - "bos_cost_fixed" : 0, - "reference_capacity" : 200000, - "turbine_cost_fixed" : 0, - "est_turbine_cost" : 0, - "reference_number_turbines" : 80, - "reference_sales_tax_percent" : 5, "total_installed_cost" : 292032000, - "om_capacity" : [ 40 ], "om_capacity_escal" : 0, "om_fixed" : [ 0 ], "om_production" : [ 0 ], - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "sales_tax_rate" : 5, - "number table entries" : 150 + "number table entries" : 50 }, "pvwattsv8" : { - "ui_land_area_ha" : 263.15847360564504, - "annual_twet" : "nan", "array_type" : 2, - "use_specific_weather_file" : 0, "dc_ac_ratio" : 1.3, - "in_nsrdb_options" : 0, - "annual_global" : 5.7947616438356171, - "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, + "om_land_lease" : [ 0 ], "losses" : 14.075660688264469, - "annual_albedo" : 0.18395068539332038, "shading_azal" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "module_total" : 39000000, - "annual_beam" : 7.3356438356164393, - "state" : "-", - "annual_diffuse" : 1.3484328767123288, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "inverter_total" : 5000000, - "country" : "-", + "shading_string_option" : 0, + "om_land_lease_escal" : 0, "adjust_en_periods" : 0, - "loss_snow" : 0, - "ui_array_land_area_acres" : 650.27774620322919, "inv_eff" : 96, - "ui_total_module_area_ha" : 52.631578947368418, - "in_time_step" : 0, - "shading_en_diff" : 0, - "annual_snow" : "nan", - "module_costunits" : 0, - "loss_lid" : 1.5, - "loss_soiling" : 2, - "library_folder_list" : "x", - "landprep_fixed" : 0, - "annual_tdry" : 21.938470319634703, - "loss_mismatch" : 2, - "permitting_fixed" : 0, - "shading_string_option" : 0, - "total_land_area" : 650.27774620322919, - "solar_data_source" : "NSRDB", - "annual_wspd" : 1.7864840188646289, - "city" : "-", - "station_id" : "78208", - "ui_land_area_multiplier" : 0, - "elev" : 358, - "in_location_options" : 0, - "total_module_area" : 526315.78947368416, - "landprep_total" : 1000000, - "loss_avail" : 3, - "step" : 3600, - "install_margin_perwatt" : 0.12, - "subtotal_direct" : 103000000, - "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", "analysis_period" : 25, - "in_location_list" : "", - "is_advanced" : 0, - "lat" : 33.450000000000003, - "ui_land_area_per_mw" : 0, - "library_paths" : "", - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "per_inverter" : 0.050000000000000003, - "loss_age" : 0, + "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, - "lon" : -111.98, - "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "engr_total" : 2000000, - "ac_nameplate" : 76923.076923076922, + "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, - "tz" : -7, - "land_percent" : 0, - "grid_percent" : 0, - "user_specified_weather_file" : "", - "wf_nrecords" : 8760, + "degradation" : [ 0.5 ], "azimuth" : 180, - "permitting_total" : 0, "gcr" : 0.29999999999999999, - "grid_fixed" : 0, - "en_user_spec_losses" : 0, "shading_mxh" : [ [ 0 ] ], - "loss_conn" : 0.5, - "loss_nameplate" : 1, - "loss_shading" : 3, - "loss_wiring" : 2, "tilt" : 0, - "losses_user" : 14, "module_type" : 0, "system_capacity" : 100000, - "ui_array_land_area_multiplier" : 1, - "installed_per_capacity" : 1.163945, + "shading_diff" : 0, "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_ac_capacity" : 76.92307692307692, "om_capacity" : [ 15 ], - "module_num_units" : 1, - "ui_use_acre_per_mw" : 0, - "ui_land_area_additional_units" : 0, - "ui_array_land_area_ha" : 263.15847360564504, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "total_installed_cost" : 116394500, - "pvwatts.advanced.is_shown" : 0, "shading_en_timestep" : 0, - "ui_land_area_additional" : 0, - "ui_total_land_area_ha" : 263.15847360564504, - "inverter_num_units" : 1, - "ui_total_module_area" : 526315.78947368416, "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_per_acre" : 0, - "inflation_rate" : 2.5, - "ui_total_module_area_acres" : 130.05534895540842, - "pv_land_area_is_shown" : 0, "adjust_en_timeindex" : 0, - "adjust" : 0, - "shading_diff" : 0, "adjust_constant" : 0, "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], - "contingency" : 3090000, "shading_en_azal" : 0, "bifaciality" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "module_is_bifacial" : 0, - "shading" : 0, - "land_per_watt" : 0, "shading_en_mxh" : 0, + "om_production" : [ 0 ], "shading_en_string_option" : 0, - "sales_tax_rate" : 5, - "shading_timestep" : [ [ 0 ] ], - "user_specified_constant_albedo" : 0.20000000000000001, - "landprep_per_watt" : 0.01, "use_wf_albedo" : 1, - "system_use_lifetime_output" : 0, - "degradation" : [ 0.5 ], - "install_margin_perarea" : 0, - "bos_equip_perarea" : 0, - "bos_equip_fixed" : 0, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "contingency_percent" : 3, - "bos_equip_total" : 28999999.999999996, - "engr_fixed" : 0, "om_fixed" : [ 0 ], - "engr_per_watt" : 0.02, - "engr_percent" : 0, - "sales_tax_percent" : 100, "om_fixed_escal" : 0, - "grid_per_watt" : 0.02, - "permitting_percent" : 0, - "grid_total" : 2000000, - "install_labor_total" : 18000000, - "install_labor_fixed" : 0, - "install_labor_perarea" : 0, - "install_labor_perwatt" : 0.17999999999999999, - "install_margin_fixed" : 0, - "install_margin_total" : 12000000, - "inverter_costunits" : 1, - "inverter_power" : 76923.076923076922, - "inverterarray_power" : 76923.076923076922, - "land_area_value" : 650.27774620322919, - "land_fixed" : 0, - "land_total" : 0, - "landprep_percent" : 0, - "module_power" : 100000, - "modulearray_area" : 526315.78947368416, - "modulearray_power" : 100000, - "per_module" : 0.39000000000000001, - "total_direct_cost" : 106090000, - "sales_tax_total" : 5304500, - "permitting_per_watt" : 0, - "sales_tax_value" : 5, - "total_indirect_cost" : 5000000, "om_capacity_escal" : 0, - "om_production" : [ 0 ], - "land_area" : 650.27774620322919, - "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "ui_land_area_units" : 0, - "ui_land_lease" : [ 0 ], - "number table entries" : 175 + "land_area" : 433.51782985136145, + "number table entries" : 44 }, "battery" : { - "battery_voltage_shown" : 0, - "compute_as_cube" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_power_dc_ac" : 0, - "pv.storage.p4.charge" : 0, - "batt_qnom_percent" : 97.6875, + "batt_losses" : [ 0 ], "leadacid_q20_computed" : 479616, "batt_qexp" : 2.5840000000000005, "leadacid_q10_computed" : 446042.88, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "leadacid_q10" : 93, "batt_cycle_cost_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_bank_nstrings" : 1, - "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], - "leadacid_q20" : 100, - "leadacid_qn" : 60, - "battery_per_kw" : 236, + "batt_room_temperature_celsius" : [ 25 ], + "batt_resistance" : 0.001155, "batt_dispatch_pvs_kf" : 0.29999999999999999, "ppa_price_input" : [ 0.050000000000000003 ], "leadacid_tn" : 1, - "pv.storage.p3.discharge" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "leadacid_qn_computed" : 287769.59999999998, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_c_rate_max_discharge" : 0.25, - "pv.storage.p6.dischargetogrid" : 0, - "batt_volume" : 3428.5692342857146, - "batt_ui_inverter_eff" : 96, "batt_qnom" : 3.1260000000000003, "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], "batt_dispatch_pvs_kp" : 1.2, "batt_computed_bank_capacity" : 239999.84640000004, "batt_power_discharge_max_kwdc" : 59999.96160000001, - "pv.storage.p3.charge" : 0, "add_om_num_types" : 1, "batt_chem" : 1, - "batt_cell_current_charge_max" : 10, "om_replacement_cost_escal" : 0, - "genericsys.cost.contingency_percent" : 3, - "pv.storage.p5.gridcharge" : 0, "batt_life_model" : 1, + "batt_c_rate" : 0.20000000000000001, "batt_dispatch_pvs_ac_ub" : 1.05, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_series" : 139, - "batt_c_rate_max_charge_input" : 0.5, "om_batt_variable_cost" : [ 0 ], - "genericsys.cost.plm.nonfixed" : 3305061.884759041, "om_batt_nameplate" : 239999.84640000004, - "batt_type" : 5, - "batt_bank_duration" : 0, "batt_surface_area" : 17999.988480000004, "batt_loss_choice" : 0, - "batt_unit_capacity" : 400, "batt_meter_position" : 1, "batt_maximum_soc" : 95, - "batt_cell_power_charge_max" : 2, - "batt_bank_size_specify" : 100, - "batt_bank_nseries_stacks" : 1, - "batt_bank_size" : 240000, - "batt_discharge_percent_1" : 50, "batt_dispatch_auto_can_gridcharge" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_computed_voltage" : 500.40000000000003, "batt_vcut" : 2.7719999999999998, "batt_vnom_default" : 3.6000000000000001, - "batt_discharge_percent_2" : 25, "batt_vfull" : 4.2000000000000002, - "batt_bank_ncells_serial" : 3, "batt_computed_strings" : 149880, "en_standalone_batt" : 0, "batt_current_discharge_max" : 119904, - "pv.storage.p3.dischargetogrid" : 0, - "batt_bank_voltage" : 500, - "ui_copy_batt_discharge_percent_5" : 25, + "batt_dc_dc_efficiency" : 99, "batt_qfull_flow" : 479616.00000000006, - "batt_size_choice" : 0, "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_bank_power" : 60000, - "battery_total" : 91679941.324800014, "batt_initial_soc" : 50, - "total_direct_cost" : 94430339.564544022, - "batt_cell_current_discharge_max" : 10, - "batt_c_rate_max_charge" : 0.25, - "batt_duration_choice" : 0, - "genericsys.cost.epc.fixed" : 0, "batt_inverter_efficiency_cutoff" : 90, - "genericsys.cost.epc.total" : 9443033.9564544018, - "batt_thermal_choice" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_bank_size_ui" : 240000, - "batt_qfull" : 3.2000000000000002, - "batt_cell_power_discharge_max" : 2, - "batt_time_capacity" : 4, - "batt_computed_stacks_series" : 0, "batt_current_charge_max" : 119904, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_pvs_battery_energy" : 239999.84640000004, - "batt_discharge_percent_6" : 25, + "batt_qfull" : 3.2000000000000002, "batt_current_choice" : 1, "om_capacity_escal" : 0, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_num_cells" : 20833320, "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62499.960000000014, "batt_ac_dc_efficiency" : 96, "batt_power_charge_max_kwdc" : 59999.96160000001, "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_ui_nominal_bank_power" : 59999.96160000001, - "batt_dc_dc_efficiency" : 99, - "batt_c_rate" : 0.20000000000000001, "batt_voltage_choice" : 0, - "batt_qexp_percent" : 80.75, "batt_vexp" : 3.5299999999999998, - "batt_resistance" : 0.001155, "dispatch_manual_percent_discharge" : [ 25, 0 ], "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_3" : 100, "batt_vnom" : 3.3420000000000001, - "batt_ui_bank_voltage" : 500.40000000000003, - "genericsys.cost.epc.nonfixed" : 9443033.9564544018, - "batt_ui_cell_voltage" : 3.6000000000000001, - "pv.storage.p6.discharge" : 0, - "batt_losses" : [ 0 ], "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_replacement_schedule_percent" : [ 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "battery_losses_shown" : 0, "dispatch_manual_system_charge_first" : 0, "batt_cp" : 1500, - "batt_room_temperature_vector" : [ 0 ], "batt_mass" : 2376236.1029702974, "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_h_to_ambient" : 100, - "batt_specific_energy_per_mass" : 101, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_specific_energy_per_volume" : 70, - "batt_unit_surface_area" : 30, - "battery_thermal_shown" : 0, "batt_calendar_a" : 0.00266, - "genericsys.cost.sales_tax.total" : 4721516.9782272009, "batt_calendar_b" : -7280, - "battery_indirect_cost_percent" : 0, "batt_calendar_q0" : 1.02, "inflation_rate" : 2.5, - "batt_pvs_user_specified_weather_file" : "", "om_fixed_escal" : 0, "batt_calendar_c" : 939, "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], "batt_calendar_choice" : 1, "ppa_escalation" : 1, "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_life_excl" : 1, "batt_replacement_capacity" : 50, "batt_replacement_option" : 1, - "pv.storage.p2.charge" : 0, - "ui_batt_life_model" : 0, "batt_cycle_cost" : [ 0 ], - "genericsys.cost.epc.percent" : 10, "batt_pv_ac_forecast" : [ 0 ], "batt_dispatch_update_frequency_hours" : 1, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], "batt_look_ahead_hours" : 18, - "pv.storage.p1.discharge" : 0, - "batt_user_specified_weather_file" : "", "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "genericsys.cost.per_watt" : 0, "batt_dispatch_pvs_ac_ub_enable" : 0, - "pv.storage.p4.gridcharge" : 0, - "batt_dispatch_pvs_battery_power" : 62499.960000000014, "om_batt_fixed_cost" : [ 0 ], - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_gridcharge_percent_1" : 100, - "battery_energy" : 239999.84640000004, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_interconnection_limit" : 20000, "batt_dispatch_pvs_ki" : 1.8, "batt_dispatch_pvs_max_ramp" : 10, "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate" : 276923.07692307694, "batt_dispatch_pvs_nameplate_ac" : 20000, "total_installed_cost" : 111899952.38398466, "batt_dispatch_pvs_short_forecast_enable" : 0, "batt_dispatch_pvs_soc_rest" : 50, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_pvs_wf_timestep" : 60, "batt_pv_clipping_forecast" : [ 0 ], - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_gridcharge_percent_6" : 100, "batt_custom_dispatch" : [ 0 ], - "batt_discharge_percent_3" : 25, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_discharge_percent_4" : 25, "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_5" : 25, - "genericsys.cost.sales_tax.value" : 5, - "batt_gridcharge_percent_2" : 100, - "batt_gridcharge_percent_4" : 100, - "batt_gridcharge_percent_5" : 100, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], "analysis_period" : 25, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_auto_can_clipcharge" : 0, "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pv.storage.p1.charge" : 1, - "pv.storage.p1.dischargetogrid" : 0, - "pv.storage.p5.charge" : 0, - "pv.storage.p1.gridcharge" : 0, - "pv.storage.p2.discharge" : 1, - "pv.storage.p2.dischargetogrid" : 0, - "ui_copy_batt_discharge_percent_2" : 25, - "pv.storage.p2.gridcharge" : 0, - "ui_copy_batt_discharge_percent_1" : 50, - "pv.storage.p3.gridcharge" : 0, - "pv.storage.p4.discharge" : 0, - "pv.storage.p4.dischargetogrid" : 0, - "pv.storage.p5.dischargetogrid" : 0, - "pv.storage.p6.charge" : 0, - "pv.storage.p6.gridcharge" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "batt_dispatch_excl" : 3, "batt_minimum_soc" : 10, "batt_minimum_modetime" : 10, "om_production_escal" : 0, "grid_interconnection_limit_kwac" : 20000, "batt_dispatch_auto_can_charge" : 1, "batt_dispatch_choice" : 3, - "batt_dispatch_choice_ui" : 3, - "genericsys.cost.contingency" : 2750398.2397440001, - "battery_per_kwh" : 323, - "battery_power" : 59999.96160000001, - "battery_total_cost_lcos" : 111899952.38398466, - "sales_tax_rate" : 5, - "genericsys.cost.installed_per_capacity" : 1.94270875, - "pv_ui_step_minutes" : 60, - "genericsys.cost.plm.fixed" : 0, - "genericsys.cost.plm.percent" : 3.5, - "genericsys.cost.plm.total" : 3305061.884759041, - "genericsys.cost.sales_tax.percent" : 100, - "total_indirect_cost" : 12748095.841213442, "om_batt_replacement_cost" : [ 323 ], - "system_capacity" : 57599.963136000006, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "wind_ui_step_minutes" : 60, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "hybrid_system_capacity" : 276923.07692307694, - "number table entries" : 253 + "number table entries" : 118 }, "hybrid" : { - "ui_generic_capacity" : 0, - "flip_target_year" : 20, "depr_bonus_fed_custom" : 0, - "ui_wacc" : 5.3872800000000014, - "ui_wind_cost" : 292032000, - "ui_battery_capacity" : 57599.963136000006, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_source" : "empty", - "wind_system_capacity" : 200000, + "flip_target_year" : 20, "om_capacity" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_fed" : 0, "ibi_oth_amount_tax_sta" : 1, - "const_per_months3" : 0, "cost_other_financing" : 0, - "ui_generic_cost" : 0, "cp_capacity_payment_type" : 0, "inflation_rate" : 2.5, "depr_bonus_fed" : 0, "flip_target_percent" : 11, "cp_capacity_payment_esc" : 0, - "ur_name" : "", - "const_per_principal1" : 520326452.38398468, "term_int_rate" : 4, "property_tax_rate" : 0, "ptc_fed_escal" : 0, "months_working_reserve" : 6, "system_capacity" : 276923.07692307694, "ibi_fed_percent_maxvalue" : 0, - "ui_fuel_cell_cost" : 0, "pbi_oth_tax_sta" : 1, - "const_per_interest_rate3" : 0, "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, "enable_interconnection_limit" : 0, "equip3_reserve_freq" : 0, - "ui_battery_cost" : 111899952.38398466, "ibi_oth_percent_tax_fed" : 1, "dscr_maximum_debt_fraction" : 100, - "nominal_discount_rate" : 9.0600000000000023, - "mera_name2" : "Replacement Reserve 2", - "ui_fuel_cell_capacity" : 0, "ptc_sta_amount" : [ 0 ], - "const_per_interest4" : 0, "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, - "const_per_interest3" : 0, "debt_option" : 1, "real_discount_rate" : 6.4000000000000004, "itc_sta_amount" : [ 0 ], "depr_alloc_sl_15_percent" : 0, "rate_escalation" : [ 0 ], - "lib_dispatch_factor4" : 1, - "const_per_total2" : 0, "prop_tax_assessed_decline" : 0, "pbi_oth_for_ds" : 0, - "mera_cost2" : 0, - "hybrid_capital_cost" : 0, "cbi_fed_deprbas_sta" : 0, "itc_fed_percent_deprbas_sta" : 1, "depr_itc_sta_macrs_15" : 0, "ur_enable_billing_demand" : 0, "debt_percent" : 60, - "const_per_interest2" : 0, - "battery_system_capacity" : 57599.963136000006, - "ui_hyb_pv_capacity" : 76923.076923076922, "batt_salvage_percentage" : 0, "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "ibi_fed_amount_deprbas_sta" : 0, "salvage_percentage" : 0, "ibi_oth_amount_deprbas_sta" : 0, "depr_alloc_macrs_5_percent" : 100, - "const_per_name3" : "Loan 3", "total_installed_cost" : 520326452.38398468, - "property_assessed_value" : 520326452.38398468, "pbi_uti_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, - "ui_pv_cost" : 116394500, "cbi_uti_deprbas_fed" : 0, "depr_bonus_fed_macrs_15" : 0, - "ur_dc_is_shown" : 1, "om_fixed" : 0, "om_production" : 0, "term_tenor" : 18, @@ -675,13 +281,10 @@ "state_tax_rate" : [ 7 ], "analysis_period" : 25, "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period_warning" : "", - "mera_name1" : "Replacement Reserve 1", "federal_tax_rate" : [ 21 ], "equip_reserve_depr_sta" : 0, "equip3_reserve_cost" : 0, "dscr" : 1.3, - "ur_rate_notes" : "", "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_fed_maxvalue" : 0, "ibi_sta_percent" : 0, @@ -692,111 +295,51 @@ "prop_tax_cost_assessed_percent" : 100, "depr_itc_sta_sl_15" : 0, "ibi_fed_amount" : 0, - "sales_tax_rate" : 5, - "const_per_name5" : "Loan 5", "ptc_fed_amount" : [ 0 ], "ibi_uti_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, - "solution_mode_message" : "", "pbi_fed_tax_fed" : 1, - "salvage_value" : 0, "depr_alloc_sl_5_percent" : 0, "cbi_sta_amount" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "batt_salvage_value" : 0, - "ur_demand_history" : 0, "payment_option" : 0, "pbi_sta_for_ds" : 0, "pbi_fed_escal" : 0, "grid_curtailment_price_esc" : 0, "depr_custom_schedule" : [ 0 ], "dscr_limit_debt_fraction" : 0, - "const_per_upfront_rate2" : 0, "cost_debt_closing" : 0, - "ur_voltage_max" : 0, "ibi_oth_percent_tax_sta" : 1, "ibi_fed_amount_deprbas_fed" : 0, - "debt_message" : "", "cp_capacity_payment_amount" : [ 0 ], "loan_moratorium" : 0, "cbi_oth_tax_fed" : 1, - "show_debtconstdscr" : 1, - "show_capitalcostso" : 1, - "const_per_interest1" : 8455304.851239752, "batt_replacement_option" : 1, - "const_per_interest_rate1" : 6.5, "itc_fed_amount_deprbas_fed" : 1, - "const_per_principal_total" : 520326452.38398468, "ibi_sta_percent_deprbas_fed" : 0, - "const_per_name2" : "Loan 2", - "dispatch_data_filename" : "", - "ur_demand_reactive_power_charge" : 0, - "const_per_upfront_rate1" : 1, "pbi_fed_amount" : [ 0 ], - "const_per_interest_rate2" : 0, "ibi_oth_percent_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_interest5" : 0, - "const_per_interest_total" : 8455304.851239752, - "const_per_interest_rate4" : 0, - "const_per_interest_rate5" : 0, - "const_per_upfront_rate5" : 0, "depr_bonus_sta_sl_39" : 0, - "const_per_months1" : 6, "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "const_per_upfront_rate3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], "ibi_oth_amount_tax_fed" : 1, "ur_nm_credit_rollover" : 0, - "lib_dispatch_factor5" : 1, - "const_per_total3" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_months2" : 0, - "const_per_months4" : 0, - "lib_dispatch_factor7" : 1, - "const_per_total1" : 13658569.375079598, - "const_per_months5" : 0, - "ur_is_default" : 0, - "const_per_name1" : "Loan 1", "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, "construction_financing_cost" : 13658569.375079598, - "const_per_name4" : "Loan 4", "cbi_uti_maxvalue" : 0, "pbi_uti_escal" : 0, "depr_fedbas_method" : 1, - "const_per_percent4" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "show_reserveaccounts" : 1, - "ur_billing_demand_is_shown" : 0, "ibi_uti_amount_tax_sta" : 1, "ptc_sta_escal" : 0, - "const_per_percent1" : 100, - "const_per_percent2" : 0, "depr_bonus_sta_macrs_5" : 0, "itc_sta_percent_deprbas_fed" : 0, - "const_per_percent3" : 0, "pbi_oth_escal" : 0, - "const_per_percent_total" : 100, "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, "ibi_sta_amount" : 0, - "mera_name3" : "Replacement Reserve 3", - "ur_desc_is_shown" : 0, - "const_per_percent5" : 0, - "const_per_principal2" : 0, - "is_btm" : 0, "equip1_reserve_cost" : 0.10000000000000001, - "const_per_principal3" : 0, - "const_per_principal4" : 0, - "const_per_principal5" : 0, - "lib_dispatch_factor2" : 1, - "const_per_total4" : 0, - "lib_dispatch_factor3" : 1, - "const_per_total5" : 0, - "show_construction_period" : 1, "ibi_oth_amount" : 0, - "ur_unused_is_shown" : 0, "ibi_sta_percent_tax_fed" : 1, "dscr_reserve_months" : 6, "equip1_reserve_freq" : 15, @@ -804,11 +347,7 @@ "equip2_reserve_cost" : 0, "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "wind_total_installed_cost" : 292032000, - "mera_cost1" : 27692307.692307696, "depr_bonus_fed_sl_39" : 0, - "mera_cost3" : 0, "reserves_interest" : 1.25, "depr_itc_sta_custom" : 0, "ppa_escalation" : 1, @@ -819,31 +358,18 @@ "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, - "battery_total_installed_cost" : 111899952.38398466, "ibi_sta_amount_deprbas_sta" : 0, - "revenue_tod_is_shown" : 1, "itc_fed_amount" : [ 0 ], "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_tax_fed" : 1, - "ur_end_date" : "empty", "depr_bonus_sta_custom" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "lib_dispatch_factor1" : 1, "pbi_sta_tax_fed" : 1, "depr_bonus_fed_sl_15" : 0, - "ac_nameplate" : 76923.076923076922, "pbi_sta_amount" : [ 0 ], - "tod_library" : "Uniform Dispatch", "ur_nm_yearend_sell_rate" : 0, "depr_itc_sta_macrs_5" : 0, - "lib_dispatch_factor6" : 1, - "lib_dispatch_factor8" : 1, - "lib_dispatch_factor9" : 1, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "revenue_capacity_payments_is_shown" : 0, - "ur_energy_history" : 0, - "ui_system_nameplate_standalone" : 0, "cp_battery_nameplate" : 0, "ptc_fed_term" : 10, "cp_capacity_credit_percent" : [ 0 ], @@ -852,7 +378,6 @@ "cp_system_nameplate" : 276.92307692307696, "grid_curtailment_price" : [ 0 ], "depr_bonus_fed_sl_5" : 0, - "revenue_curtailment_is_shown" : 0, "itc_fed_amount_deprbas_sta" : 1, "itc_fed_percent" : [ 30 ], "ptc_sta_term" : 10, @@ -861,7 +386,6 @@ "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, - "ur_fuel_adjustments_monthly" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "depr_alloc_macrs_15_percent" : 0, @@ -876,17 +400,13 @@ "cbi_oth_amount" : 0, "depr_bonus_fed_sl_20" : 0, "cbi_oth_deprbas_fed" : 0, - "batt_power_discharge_max_kwac" : 57599.963136000006, "cbi_oth_deprbas_sta" : 0, "pbi_fed_tax_sta" : 1, "cbi_oth_maxvalue" : 0, - "ui_electricity_rate_option" : 1, "cbi_oth_tax_sta" : 1, "cbi_sta_deprbas_fed" : 0, "cbi_sta_deprbas_sta" : 0, - "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, - "ur_voltage_min" : 0, "ibi_fed_amount_tax_fed" : 1, "cbi_uti_amount" : 0, "ibi_uti_percent" : 0, @@ -896,8 +416,6 @@ "ibi_fed_percent_deprbas_sta" : 0, "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, - "ur_ratedata_filename" : "", - "ur_energy_attrs" : "", "ibi_fed_percent_tax_sta" : 1, "ibi_sta_amount_tax_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, @@ -905,21 +423,16 @@ "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, "ibi_oth_percent_deprbas_fed" : 0, - "ur_fixed_attrs" : "", "ibi_sta_percent_maxvalue" : 0, "ibi_uti_amount" : 0, "ur_nm_credit_month" : 0, "ibi_uti_percent_tax_sta" : 1, - "ur_energy_max" : 0, "depr_itc_sta_sl_5" : 0, - "chk_update_peaks" : 0, "pbi_fed_term" : 0, "pbi_oth_tax_fed" : 1, "pbi_oth_term" : 0, - "ur_ec_is_shown" : 1, "depr_itc_fed_sl_15" : 0, "depr_itc_sta_sl_20" : 0, - "ur_voltage_category" : "", "pbi_sta_escal" : 0, "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], @@ -928,8 +441,6 @@ "depr_alloc_custom_percent" : 0, "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, - "depr_alloc_none" : 0, - "ur_demand_window" : 0, "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_macrs_5" : 1, "depr_bonus_sta" : 0, @@ -947,26 +458,14 @@ "ur_en_ts_buy_rate" : 0, "ur_ts_buy_rate" : [ 0 ], "ur_annual_min_charge" : 0, - "ur_has_unused_items" : 0, "ur_monthly_min_charge" : 0, - "ur_demand_max" : 0, - "ur_description" : "", - "ur_energy_min" : 0, - "ur_utility" : "empty", - "ur_phase_wiring" : "", - "ur_schedule_name" : "empty", - "ur_start_date" : "empty", - "ur_uri" : "empty", "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_yearzero_usage_peaks" : [ 0 ], "ur_billing_demand_minimum" : 0, "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_demand_attrs" : "", - "ur_service_type" : "", - "pv_total_installed_cost" : 116394500, - "number table entries" : 378 + "number table entries" : 242 }, "number table entries" : 5 }, diff --git a/test/main.cpp b/test/main.cpp index 01b8c98d9..eafe1a724 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -54,7 +54,7 @@ GTEST_API_ int main(int argc, char **argv) { // filter to include // ::testing::GTEST_FLAG(filter) = "CmodPVWatts*:CMPvwatts*"; - ::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; + //::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; //::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; From be7e7682ec0c3ebe60b96ef16caf37d62278316a Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 07:25:23 -0700 Subject: [PATCH 18/24] remove comment --- test/ssc_test/cmod_hybrid_test.cpp | 1 - 1 file changed, 1 deletion(-) diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 653eadcb5..3cbac8f1e 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -263,7 +263,6 @@ TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); -// EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); // check this with patch results. EXPECT_NEAR(npv, -307199232, 307199232 * 0.001); } ssc_data_free(dat); From d39d19ed3035a8a01e3d07302b25ca694988ce53 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 08:05:42 -0700 Subject: [PATCH 19/24] update cmod_hybrid_test with code generation results --- ...ts Wind Battery Hybrid_Host Developer.json | 67185 +--------------- ...atts Wind Battery Hybrid_Single Owner.json | 30772 +------ test/ssc_test/cmod_hybrid_test.cpp | 20 +- 3 files changed, 1989 insertions(+), 95988 deletions(-) diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index b00b3294c..27ece5b73 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,66178 +1,1009 @@ { - "input": { - "compute_modules" : ["pvwattsv8", "windpower", "battery", "grid", "utilityrate5", "host_developer"], - "pvwattsv8": { - "ac_nameplate": 3.63636, - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "albedo": [ - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2 - ], - "analysis_period": 30.0, - "annual_albedo": 0.183951, - "annual_beam": 7.33564, - "annual_diffuse": 1.34843, - "annual_global": 5.79476, - "annual_snow": "nan", - "annual_tdry": 21.9385, - "annual_wspd": 1.78648, - "array_type": 0.0, - "azimuth": 180.0, - "batt_simple_enable": 0.0, - "bifaciality": 0.0, - "bos_equip_fixed": 0.0, - "bos_equip_perarea": 0.0, - "bos_equip_perwatt": 0.0, - "bos_equip_total": 0.0, - "capex_table_ac_cost_per_w": 0.0, - "capex_table_ac_inputs": [ - [ - 0.0 - ] - ], - "capex_table_cost_per_w": 0.45, - "capex_table_dc_inputs": [ - [ - 2.0, - 0.35 - ], - [ - 5.0, - 0.4 - ], - [ - 8.0, - 0.45 - ] - ], - "capex_table_land_cost_per_acre": 0.0, - "capex_table_land_inputs": [ - [ - 0.0 - ] - ], - "capex_table_total_installed_ac_cost": 0.0, - "capex_table_total_installed_dc_cost": 1800.0, - "capex_table_total_installed_land_cost": 0.0, - "city": "-", - "contingency": 0.0, - "contingency_percent": 0.0, - "country": "-", - "dc_ac_ratio": 1.1, - "degradation": [ - 0.0 - ], - "elev": 358.0, - "en_snowloss": 0.0, - "en_user_spec_losses": 0.0, - "engr_fixed": 0.0, - "engr_per_watt": 0.0, - "engr_percent": 0.0, - "engr_total": 0.0, - "file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "gcr": 0.4, - "grid_fixed": 0.0, - "grid_per_watt": 0.0, - "grid_percent": 0.0, - "grid_total": 0.0, - "in_location": "Type a location name, street address, or lat,lon in decimal degrees", - "in_location_list": "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", - "in_location_options": 0.0, - "in_nsrdb_options": 0.0, - "in_time_step": 0.0, - "inflation_rate": 2.5, - "install_labor_fixed": 0.0, - "install_labor_perarea": 0.0, - "install_labor_perwatt": 0.0, - "install_labor_total": 0.0, - "install_margin_fixed": 0.0, - "install_margin_perarea": 0.0, - "install_margin_perwatt": 0.0, - "install_margin_total": 0.0, - "installed_per_capacity": 5.48545, - "inv_eff": 96.0, - "inverter_costunits": 0.0, - "inverter_num_units": 1.0, - "inverter_power": 3.63636, - "inverter_total": 2581.82, - "inverterarray_power": 3.63636, - "inverterarray_power_w": 3636.36, - "is_advanced": 0.0, - "land_area": 0.0, - "land_area_capex": 0.0189035, - "land_area_value": 0.0189035, - "land_fixed": 0.0, - "land_per_acre": 0.0, - "land_per_watt": 0.0, - "land_percent": 0.0, - "land_total": 0.0, - "landprep_fixed": 0.0, - "landprep_per_acre": 0.0, - "landprep_per_watt": 0.0, - "landprep_percent": 0.0, - "landprep_total": 0.0, - "lat": 33.45, - "library_folder_list": "x", - "library_paths": "", - "lon": -111.98, - "loss_age": 0.0, - "loss_avail": 3.0, - "loss_conn": 0.5, - "loss_lid": 1.5, - "loss_mismatch": 2.0, - "loss_nameplate": 1.0, - "loss_shading": 3.0, - "loss_snow": 0.0, - "loss_soiling": 2.0, - "loss_wiring": 2.0, - "losses": 14.0757, - "losses_user": 14.0, - "module_costunits": 0.0, - "module_is_bifacial": 0.0, - "module_num_units": 1.0, - "module_power": 4.0, - "module_total": 19360.0, - "module_type": 0.0, - "modulearray_area": 21.0526, - "modulearray_power": 4.0, - "modulearray_power_w": 4000.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_land_lease": [ - 0.0 - ], - "om_land_lease_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "per_inverter": 0.71, - "per_module": 4.84, - "permitting_fixed": 0.0, - "permitting_per_watt": 0.0, - "permitting_percent": 0.0, - "permitting_total": 0.0, - "pv_capex_cost_choice": 0.0, - "pv_land_area_is_shown": 0.0, - "pvwatts.advanced.is_shown": 0.0, - "sales_tax_percent": 100.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sales_tax_value": 0.0, - "shading": 0.0, - "shading_3d_scene": { - "VV_TYPE": 6, - "DATA": "" - }, - "shading_azal": [ - [ - 0.0 - ] - ], - "shading_diff": 0.0, - "shading_en_azal": 0.0, - "shading_en_diff": 0.0, - "shading_en_mxh": 0.0, - "shading_en_string_option": 0.0, - "shading_en_timestep": 0.0, - "shading_mxh": [ - [ - 0.0 - ] - ], - "shading_string_option": 0.0, - "shading_timestep": [ - [ - 0.0 - ] - ], - "soiling": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "solar_data_file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_file_name_load": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_source": "NSRDB", - "solar_resource": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "state": "-", - "station_id": "78208", - "step": 3600.0, - "subtotal_direct": 21941.8, - "system_capacity": 4.0, - "system_use_lifetime_output": 0.0, - "tilt": 42.82, - "total_direct_cost": 21941.8, - "total_indirect_cost": 0.0, - "total_installed_cost": 21941.8, - "total_land_area": 0.0189035, - "total_module_area": 21.0526, - "tz": -7.0, - "ui_ac_capacity": -999.0, - "ui_array_land_area_acres": 0.0189035, - "ui_array_land_area_ha": 0.00765001, - "ui_array_land_area_multiplier": 1.0, - "ui_land_area_additional": 0.0, - "ui_land_area_additional_units": 0.0, - "ui_land_area_ha": 0.0, - "ui_land_area_multiplier": 0.0, - "ui_land_area_per_mw": 0.0, - "ui_land_area_units": 0.0, - "ui_land_lease": [ - 0.0 - ], - "ui_step_minutes": 60.0, - "ui_total_land_area_ha": 0.00765001, - "ui_total_module_area": 21.0526, - "ui_total_module_area_acres": 0.00520221, - "ui_total_module_area_ha": 0.00210526, - "ui_use_acre_per_mw": 0.0, - "use_specific_weather_file": 0.0, - "use_wf_albedo": 1.0, - "user_specified_constant_albedo": 0.2, - "user_specified_weather_file": "", - "wf_nrecords": 8760.0 - }, - "windpower": { - "a_error_test_number": 17.345, - "a_error_test_string": "\"default err msg\"", - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0 - ], - "analysis_period": 30.0, - "avail_bop_loss": 0.0, - "avail_grid_loss": 0.0, - "avail_loss_total": 0.0, - "avail_turb_loss": 0.0, - "bos_cost_fixed": 0.0, - "bos_cost_per_kw": 0.0, - "bos_cost_per_turbine": 0.0, - "bos_cost_total": 0.0, - "cols": 1.0, - "degradation": [ - 0.0 - ], - "desired_farm_size": 5.0, - "elec_eff_loss": 0.0, - "elec_loss_total": 0.0, - "elec_parasitic_loss": 0.0, - "env_degrad_loss": 0.0, - "env_env_loss": 0.0, - "env_exposure_loss": 0.0, - "env_icing_loss": 0.0, - "env_loss_total": 0.0, - "est_bos_cost": 0.0, - "est_turbine_cost": 0.0, - "inflation_rate": 2.5, - "install_type": 0.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "ops_env_loss": 0.0, - "ops_grid_loss": 0.0, - "ops_load_loss": 0.0, - "ops_loss_total": 0.0, - "ops_strategies_loss": 0.0, - "reference_capacity": 1.0, - "reference_number_turbines": 1.0, - "reference_resource_file": "WY Southern-Flat Lands.srw", - "reference_sales_tax_percent": 0.0, - "resource_definition_type": 0.0, - "rows": 1.0, - "sales_tax_basis": 0.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sizing_warning": 0.0, - "specify_label": 0.0, - "system_capacity": 1.0, - "system_use_lifetime_output": 0.0, - "total_installed_cost": 4000.0, - "total_installed_cost_per_kw": 4000.0, - "turb_generic_loss": 0.0, - "turb_hysteresis_loss": 0.0, - "turb_loss_total": 0.0, - "turb_perf_loss": 0.0, - "turb_specific_loss": 0.0, - "turbine_cost_fixed": 0.0, - "turbine_cost_per_kw": 4000.0, - "turbine_cost_per_turbine": 0.0, - "turbine_cost_total": 4000.0, - "use_specific_wf_wind": 0.0, - "user_specified_wf_wind": " ", - "wake_ext_loss": 0.0, - "wake_future_loss": 0.0, - "wake_int_loss": 0.0, - "wake_loss": 0.0, - "wake_loss_total": 0.0, - "weibull_k_factor": 2.0, - "weibull_reference_height": 50.0, - "weibull_wind_speed": 7.25, - "wind.turbine.blade_design": 0.0, - "wind.turbine.drive_train": 0.0, - "wind.turbine.dummy": 0.0, - "wind.turbine.elevation": 0.0, - "wind.turbine.max_tip_speed": 80.0, - "wind.turbine.max_tspeed_ratio": 8.0, - "wind.turbine.name_only": "0", - "wind.turbine.radio_list_or_design": 0.0, - "wind.turbine.region2nhalf_slope": 5.0, - "wind.turbine.tower_design": 0.0, - "wind_climate.avg_wind_speed_closest_to_hub_ht": 0.0, - "wind_climate.msg": "", - "wind_climate.msg_is_error": 0.0, - "wind_climate.url_info": "empty", - "wind_farm_num_turbines": 1.0, - "wind_farm_sizing_mode": 0.0, - "wind_farm_wake_model": 0.0, - "wind_farm_xCoord_file": [ - 0.0 - ], - "wind_farm_xCoordinates": [ - 0.0 - ], - "wind_farm_yCoord_file": [ - 0.0 - ], - "wind_farm_yCoordinates": [ - 0.0 - ], - "wind_resource.city": "city??", - "wind_resource.closest_dir_meas_ht": 80.0, - "wind_resource.closest_speed_meas_ht": 80.0, - "wind_resource.country": "USA", - "wind_resource.description": "Southern WY - flat lands (NREL AWS Truepower representative file)", - "wind_resource.elev": 2088.0, - "wind_resource.file": "WY Southern-Flat Lands.srw", - "wind_resource.lat": 0.0, - "wind_resource.lat_requested": 0.0, - "wind_resource.location": "WY Southern-Flat Lands", - "wind_resource.location_id": "loc_id", - "wind_resource.lon": 0.0, - "wind_resource.lon_requested": 0.0, - "wind_resource.requested_ht": 80.0, - "wind_resource.state": "WY", - "wind_resource.year": 1900.0, - "wind_resource_distribution": [ - [ - 0.0 - ] - ], - "wind_resource_filename": "WY Southern-Flat Lands.srw", - "wind_resource_model_choice": 0.0, - "wind_resource_shear": 0.14, - "wind_resource_turbulence_coeff": 0.1, - "wind_turbine_IEC_Class_from_lib": "0", - "wind_turbine_cut_out": 25.0, - "wind_turbine_cutin": 4.0, - "wind_turbine_hub_ht": 80.0, - "wind_turbine_kw_rating": 1.0, - "wind_turbine_kw_rating_from_lib": 1.0, - "wind_turbine_kw_rating_input": 1500.0, - "wind_turbine_max_cp": 0.45, - "wind_turbine_powercurve_err_msg": "", - "wind_turbine_powercurve_hub_efficiency": [ - 0.0 - ], - "wind_turbine_powercurve_powerout": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], - "wind_turbine_powercurve_powerout_from_lib": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], - "wind_turbine_powercurve_windspeeds": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_powercurve_windspeeds_from_lib": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_rated_wind_speed": 1.0, - "wind_turbine_rotor_diameter": 2.5, - "wind_turbine_rotor_diameter_from_lib": 2.5, - "wind_turbine_rotor_diameter_input": 75.0, - "wind_turbine_selection": "Bergey BWC XL.1", - "windfarm.farm.layout_angle": 0.0, - "windfarm.farm.layout_slider": 0.0, - "windfarm.farm.number_of_rows": 1.0, - "windfarm.farm.offset": 250.0, - "windfarm.farm.offset_type": 1.0, - "windfarm.farm.row_spacing": 750.0, - "windfarm.farm.shape": 0.0, - "windfarm.farm.turbine_spacing": 500.0, - "windfarm.farm.turbines_per_row": 1.0, - "windfarm.layout.file_or_controls": 1.0 - }, - "battery": { - "LeadAcid_q10": 93.0, - "LeadAcid_q10_computed": 418.5, - "LeadAcid_q20": 100.0, - "LeadAcid_q20_computed": 450.0, - "LeadAcid_qn": 60.0, - "LeadAcid_qn_computed": 270.0, - "LeadAcid_tn": 1.0, - "add_om_num_types": 1.0, - "analysis_period": 30.0, - "batt_C_rate": 0.1, - "batt_C_rate_max_charge": 0.099, - "batt_C_rate_max_charge_input": 0.5, - "batt_C_rate_max_discharge": 0.10101, - "batt_C_rate_max_discharge_input": 0.5, - "batt_Cp": 4183.0, - "batt_Qexp": 0.05, - "batt_Qexp_percent": 1.0, - "batt_Qfull": 5.0, - "batt_Qfull_flow": 450.0, - "batt_Qnom": 4.75, - "batt_Qnom_percent": 95.0, - "batt_Vcut": 1.452, - "batt_Vexp": 2.05, - "batt_Vfull": 2.2, - "batt_Vnom": 2.04, - "batt_Vnom_default": 3.2, - "batt_ac_dc_efficiency": 99.0, - "batt_ac_or_dc": 1.0, - "batt_bank_duration": 10.0, - "batt_bank_ncells_serial": 3.0, - "batt_bank_nseries_stacks": 1.0, - "batt_bank_nstrings": 1.0, - "batt_bank_power": 20.0, - "batt_bank_power_dc_ac": 1.0, - "batt_bank_size": 200.0, - "batt_bank_size_dc_ac": 1.0, - "batt_bank_size_specify": 100.0, - "batt_bank_size_ui": 200.0, - "batt_bank_voltage": 450.0, - "batt_calendar_a": 0.00266, - "batt_calendar_b": -7280.0, - "batt_calendar_c": 939.0, - "batt_calendar_choice": 0.0, - "batt_calendar_lifetime_matrix": [ - [ - 0.0, - 100.0 - ], - [ - 3650.0, - 80.0 - ], - [ - 7300.0, - 50.0 - ] - ], - "batt_calendar_q0": 1.02, - "batt_cell_current_charge_max": 10.0, - "batt_cell_current_discharge_max": 10.0, - "batt_cell_power_charge_max": 2.0, - "batt_cell_power_discharge_max": 2.0, - "batt_chem": 0.0, - "batt_computed_bank_capacity": 203.04, - "batt_computed_series": 141.0, - "batt_computed_stacks_series": 0.0, - "batt_computed_strings": 90.0, - "batt_computed_voltage": 451.2, - "batt_current_charge_max": 44.55, - "batt_current_choice": 1.0, - "batt_current_discharge_max": 45.4545, - "batt_custom_dispatch": [ - 0.0 - ], - "batt_cycle_cost": [ - 0.0 - ], - "batt_cycle_cost_choice": 0.0, - "batt_dc_ac_efficiency": 99.0, - "batt_dc_dc_efficiency": 99.0, - "batt_discharge_percent_1": 25.0, - "batt_discharge_percent_2": 25.0, - "batt_discharge_percent_3": 25.0, - "batt_discharge_percent_4": 25.0, - "batt_discharge_percent_5": 25.0, - "batt_discharge_percent_6": 25.0, - "batt_dispatch_auto_btm_can_discharge_to_grid": 0.0, - "batt_dispatch_auto_can_charge": 0.0, - "batt_dispatch_auto_can_clipcharge": 0.0, - "batt_dispatch_auto_can_fuelcellcharge": 0.0, - "batt_dispatch_auto_can_gridcharge": 0.0, - "batt_dispatch_charge_only_system_exceeds_load": 0.0, - "batt_dispatch_choice": 3.0, - "batt_dispatch_choice_ui": 3.0, - "batt_dispatch_discharge_only_load_exceeds_system": 1.0, - "batt_dispatch_excl": 3.0, - "batt_dispatch_load_escal_choice_peak": 0.0, - "batt_dispatch_load_escal_choice_psd": 0.0, - "batt_dispatch_load_forecast_choice": 0.0, - "batt_dispatch_load_forecast_choice_peak_shaving": 0.0, - "batt_dispatch_load_forecast_choice_psd": 0.0, - "batt_dispatch_load_forecast_escal_peak_shaving": [ - 0.0 - ], - "batt_dispatch_load_forecast_escal_psd": [ - 0.0 - ], - "batt_dispatch_wf_forecast_choice": 0.0, - "batt_dispatch_wf_forecast_choice_psd": 0.0, - "batt_duration_choice": 1.0, - "batt_gridcharge_percent_1": 100.0, - "batt_gridcharge_percent_2": 100.0, - "batt_gridcharge_percent_3": 100.0, - "batt_gridcharge_percent_4": 100.0, - "batt_gridcharge_percent_5": 100.0, - "batt_gridcharge_percent_6": 100.0, - "batt_h_to_ambient": 5.0, - "batt_initial_SOC": 50.0, - "batt_inverter_efficiency_cutoff": 90.0, - "batt_life_excl": 0.0, - "batt_life_model": 0.0, - "batt_lifetime_matrix": [ - [ - 30.0, - 0.0, - 100.0 - ], - [ - 30.0, - 1100.0, - 90.0 - ], - [ - 30.0, - 1200.0, - 50.0 - ], - [ - 50.0, - 0.0, - 100.0 - ], - [ - 50.0, - 400.0, - 90.0 - ], - [ - 50.0, - 500.0, - 50.0 - ], - [ - 100.0, - 0.0, - 100.0 - ], - [ - 100.0, - 100.0, - 90.0 - ], - [ - 100.0, - 150.0, - 50.0 - ] - ], - "batt_load_ac_forecast": [ - 0.0 - ], - "batt_load_ac_forecast_escalation": [ - 0.0 - ], - "batt_loss_choice": 0.0, - "batt_losses": [ - 0.0 - ], - "batt_losses_charging": [ - 0.0 - ], - "batt_losses_discharging": [ - 0.0 - ], - "batt_losses_idle": [ - 0.0 - ], - "batt_mass": 1353.6, - "batt_maximum_SOC": 95.0, - "batt_meter_position": 0.0, - "batt_minimum_SOC": 30.0, - "batt_minimum_modetime": 10.0, - "batt_num_cells": 12690.0, - "batt_power_charge_max_kwac": 20.304, - "batt_power_charge_max_kwdc": 20.101, - "batt_power_discharge_max_kwac": 20.304, - "batt_power_discharge_max_kwdc": 20.5091, - "batt_pv_ac_forecast": [ - 0.0 - ], - "batt_pv_ac_forecast_peak": [ - 0.0 - ], - "batt_pv_ac_forecast_psd": [ - 0.0 - ], - "batt_pv_clipping_forecast": [ - 0.0 - ], - "batt_replacement_capacity": 0.0, - "batt_replacement_option": 0.0, - "batt_replacement_schedule_percent": [ - 0.0 - ], - "batt_resistance": 0.1, - "batt_room_temperature_celsius": [ - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0 - ], - "batt_room_temperature_single": 20.0, - "batt_room_temperature_vector": [ - 0.0 - ], - "batt_size_choice": 0.0, - "batt_specific_energy_per_mass": 150.0, - "batt_specific_energy_per_volume": 300.0, - "batt_surface_area": 4.62514, - "batt_target_choice": 0.0, - "batt_target_power": [ - 0.0 - ], - "batt_target_power_monthly": [ - 0.0 - ], - "batt_thermal_choice": 0.0, - "batt_time_capacity": 10.0, - "batt_type": 0.0, - "batt_ui_bank_voltage": 451.2, - "batt_ui_cell_voltage": 3.2, - "batt_ui_inverter_eff": 96.0, - "batt_ui_nominal_bank_power": 20.5091, - "batt_unit_capacity": 400.0, - "batt_unit_surface_area": 30.0, - "batt_user_specified_weather_file_peak_shaving": "", - "batt_user_specified_weather_file_psd": "", - "batt_voltage_choice": 0.0, - "batt_voltage_matrix": [ - [ - 0.0, - 1.2 - ], - [ - 20.0, - 1.1 - ], - [ - 40.0, - 1.05 - ], - [ - 60.0, - 1.0 - ], - [ - 80.0, - 0.95 - ], - [ - 100.0, - 0.5 - ] - ], - "batt_volume": 0.6768, - "battery_energy": 203.04, - "battery_indirect_cost_percent": 0.0, - "battery_losses_shown": 0.0, - "battery_per_kW": 0.0, - "battery_per_kWh": 100.0, - "battery_power": 20.5091, - "battery_thermal_shown": 0.0, - "battery_total": 20304.0, - "battery_total_cost_lcos": 20304.0, - "battery_voltage_shown": 0.0, - "cap_vs_temp": [ - [ - -15.0, - 65.0 - ], - [ - 0.0, - 85.0 - ], - [ - 25.0, - 100.0 - ], - [ - 40.0, - 104.0 - ] - ], - "compute_as_cube": 1.0, - "dispatch_manual_btm_discharge_to_grid": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_charge": [ - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_discharge": [ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_gridcharge": [ - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_percent_discharge": [ - 25.0, - 0.0 - ], - "dispatch_manual_percent_gridcharge": [ - 100.0, - 0.0 - ], - "dispatch_manual_sched": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_system_charge_first": 1.0, - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.1, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "en_batt": 1.0, - "en_standalone_batt": 0.0, - "en_wave_batt": 0.0, - "genericsys.cost.contingency": 0.0, - "genericsys.cost.contingency_percent": 0.0, - "genericsys.cost.epc.fixed": 0.0, - "genericsys.cost.epc.nonfixed": 0.0, - "genericsys.cost.epc.percent": 0.0, - "genericsys.cost.epc.total": 0.0, - "genericsys.cost.installed_per_capacity": 1.0, - "genericsys.cost.per_watt": 0.0, - "genericsys.cost.plm.fixed": 0.0, - "genericsys.cost.plm.nonfixed": 0.0, - "genericsys.cost.plm.percent": 0.0, - "genericsys.cost.plm.total": 0.0, - "genericsys.cost.sales_tax.percent": 0.0, - "genericsys.cost.sales_tax.total": 0.0, - "genericsys.cost.sales_tax.value": 0.0, - "inflation_rate": 2.5, - "load": [ - 65.6374, - 62.5244, - 75.1593, - 66.7696, - 78.4194, - 69.7381, - 79.1304, - 50.4748, - 50.3969, - 37.7489, - 37.1335, - 28.3751, - 27.4458, - 23.7839, - 21.3821, - 20.961, - 26.3378, - 42.4988, - 44.2319, - 50.8582, - 50.5752, - 57.2174, - 54.77, - 62.5655, - 62.5466, - 69.0273, - 64.4617, - 71.8981, - 68.3173, - 74.5008, - 234.676, - 217.896, - 230.172, - 191.794, - 172.779, - 165.046, - 163.967, - 149.935, - 141.272, - 139.715, - 147.919, - 155.912, - 130.833, - 132.272, - 128.764, - 130.137, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 163.928, - 142.125, - 168.956, - 147.914, - 139.89, - 136.938, - 140.046, - 132.461, - 127.632, - 127.446, - 136.442, - 141.771, - 114.75, - 114.797, - 108.864, - 112.226, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.076, - 124.442, - 158.465, - 139.534, - 130.405, - 127.095, - 126.792, - 121.982, - 117.195, - 117.09, - 126.775, - 131.461, - 102.11, - 102.849, - 94.7595, - 97.4781, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.517, - 110.213, - 150.037, - 131.9, - 122.468, - 122.902, - 124.274, - 124.874, - 120.462, - 119.828, - 127.824, - 134.513, - 105.677, - 104.267, - 94.6319, - 95.9547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 126.096, - 107.02, - 140.049, - 124.387, - 120.537, - 122.295, - 122.559, - 119.695, - 115.207, - 114.45, - 121.73, - 125.166, - 95.4737, - 98.0856, - 89.4985, - 91.2355, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.974, - 100.036, - 99.832, - 90.0105, - 85.6978, - 85.3829, - 82.6116, - 75.2912, - 50.1919, - 50.7114, - 57.5579, - 74.0381, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 157.675, - 130.223, - 152.852, - 132.786, - 126.285, - 125.564, - 129.219, - 125.691, - 121.073, - 120.048, - 124.656, - 126.2, - 96.4566, - 98.3456, - 91.5109, - 95.96, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.52, - 115.363, - 149.152, - 129.205, - 121.57, - 121.96, - 125.404, - 124.593, - 120.611, - 119.448, - 124.295, - 123.945, - 92.6343, - 94.9597, - 87.2084, - 89.9637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.737, - 94.7459, - 132.714, - 123.344, - 123.674, - 126.724, - 127.939, - 127.712, - 121.745, - 118.511, - 122.133, - 122.241, - 90.8912, - 92.1783, - 84.1639, - 86.7476, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 118.927, - 105.466, - 139.501, - 122.954, - 118.424, - 119.174, - 119.868, - 118.334, - 114.487, - 113.276, - 118.782, - 124.638, - 94.6371, - 97.2347, - 88.9331, - 91.8463, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 128.102, - 112.693, - 148.291, - 127.728, - 121.797, - 122.218, - 122.967, - 121.95, - 118.389, - 118.561, - 121.841, - 123.79, - 93.4902, - 96.5931, - 89.4855, - 92.9568, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 134.508, - 98.5746, - 96.21, - 89.2509, - 85.5446, - 85.8898, - 84.1672, - 78.4454, - 52.9495, - 51.6784, - 55.8877, - 71.3139, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 147.559, - 131.93, - 163.137, - 146.109, - 138.832, - 137.181, - 141.728, - 134.655, - 127.192, - 126.152, - 132.177, - 141.752, - 114.362, - 113.585, - 106.758, - 109.238, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.179, - 112.448, - 143.62, - 128.368, - 124.368, - 125.725, - 127.368, - 122.678, - 116.183, - 115.887, - 122.147, - 129.775, - 101.286, - 103.536, - 96.5743, - 99.8303, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.58, - 111.15, - 143.304, - 127.538, - 122.19, - 123.156, - 124.548, - 120.996, - 115.086, - 114.839, - 120.735, - 126.89, - 97.3894, - 99.7454, - 92.5019, - 96.1164, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 144.45, - 129.516, - 151.284, - 131.085, - 126.278, - 125.197, - 125.161, - 120.774, - 114.79, - 114.411, - 120.586, - 127.896, - 99.4435, - 102.658, - 95.887, - 99.5242, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 145.87, - 117.274, - 109.549, - 98.5057, - 91.9249, - 91.0462, - 85.4779, - 79.4584, - 56.5764, - 55.8243, - 60.2569, - 79.6187, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5655, - 20.9088, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 41.7921, - 43.4777, - 45.2287, - 47.5001, - 172.999, - 141.927, - 162.336, - 139.992, - 131.967, - 130.293, - 132.978, - 128.174, - 122.601, - 122.073, - 122.983, - 127.575, - 98.1835, - 101.311, - 94.9811, - 98.5038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 135.61, - 111.334, - 140.777, - 125.304, - 119.919, - 122.722, - 126.224, - 126.482, - 121.984, - 120.772, - 120.758, - 122.099, - 90.8145, - 93.2282, - 85.5756, - 87.9259, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 130.189, - 116.199, - 146.624, - 127.765, - 119.847, - 120.588, - 123.609, - 123.747, - 120.589, - 119.739, - 119.921, - 122.218, - 91.1469, - 93.502, - 86.4831, - 89.4501, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 122.168, - 105.558, - 139.074, - 122.528, - 118.052, - 119.799, - 122.725, - 124.003, - 120.995, - 121.575, - 120.365, - 121.677, - 89.1019, - 90.3988, - 82.4726, - 85.6087, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.553, - 99.4828, - 142.845, - 126.185, - 120.437, - 119.817, - 120.242, - 121.363, - 117.417, - 118.02, - 118.961, - 123.149, - 90.2986, - 92.1996, - 83.8984, - 86.4467, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 109.401, - 84.4718, - 94.076, - 88.0738, - 80.7528, - 83.2127, - 82.3072, - 77.4494, - 52.4732, - 52.6818, - 51.4629, - 66.9579, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.1874, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 103.701, - 89.6664, - 131.359, - 120.056, - 118.578, - 121.705, - 123.177, - 124.276, - 120.591, - 120.166, - 120.769, - 121.954, - 86.7076, - 88.5998, - 79.3628, - 82.0893, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.4304, - 94.0663, - 140.365, - 121.417, - 116.782, - 118.719, - 120.955, - 122.154, - 119.54, - 118.523, - 118.45, - 121.761, - 88.234, - 89.043, - 81.3983, - 83.9472, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 112.606, - 96.9766, - 135.261, - 121.816, - 117.521, - 119.454, - 122.226, - 122.842, - 119.272, - 117.702, - 119.457, - 119.407, - 88.74, - 90.1967, - 81.9256, - 84.2286, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.974, - 99.5702, - 136.224, - 122.069, - 117.45, - 121.217, - 123.712, - 123.718, - 119.188, - 118.688, - 120.167, - 119.499, - 89.2523, - 90.2419, - 81.1791, - 85.0077, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.853, - 92.1261, - 133.285, - 120.232, - 117.332, - 123.502, - 128.51, - 132.09, - 128.274, - 126.301, - 126.843, - 124.682, - 88.5132, - 86.9869, - 77.7439, - 81.0848, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 91.5629, - 78.622, - 92.9278, - 91.5897, - 87.6784, - 87.8362, - 85.3483, - 82.296, - 64.1723, - 66.6132, - 68.2239, - 80.7772, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 149.261, - 123.809, - 147.564, - 128.823, - 122.579, - 125.586, - 128.886, - 124.836, - 120.228, - 119.962, - 121.28, - 121.551, - 90.2391, - 90.854, - 82.0314, - 85.2273, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.751, - 92.1889, - 131.301, - 121.325, - 124.155, - 131.137, - 134.179, - 137.996, - 134.567, - 133.442, - 133.533, - 128.937, - 90.1826, - 88.5796, - 76.377, - 76.5461, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1058, - 68.9968, - 130.897, - 125.398, - 125.853, - 129.808, - 132.809, - 137.547, - 131.545, - 129.309, - 129.218, - 127.428, - 89.0817, - 85.0062, - 70.6225, - 70.7933, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.2074, - 69.7315, - 126.489, - 119.797, - 123.214, - 129.632, - 130.027, - 128.089, - 121.849, - 121.219, - 122.95, - 117.617, - 84.5629, - 86.6192, - 78.6097, - 81.9264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 98.7741, - 89.931, - 134.027, - 121.379, - 117.417, - 118.573, - 118.658, - 116.505, - 112.105, - 112.11, - 115.22, - 118.809, - 91.3067, - 93.6335, - 85.9244, - 88.7878, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 120.459, - 99.6859, - 104.526, - 94.9952, - 85.6986, - 85.5286, - 82.0141, - 75.6155, - 49.6814, - 49.085, - 50.8381, - 69.4183, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.4371, - 41.0401, - 40.9483, - 38.1295, - 23.7508, - 20.2999, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 44.2703, - 43.7942, - 46.1776, - 47.9632, - 173.422, - 145.755, - 164.054, - 142.812, - 131.482, - 129.958, - 134.414, - 129.863, - 124.955, - 125.085, - 124.736, - 122.557, - 93.7905, - 96.9914, - 90.1429, - 94.0503, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.515, - 99.2944, - 134.339, - 121.258, - 122.881, - 131.254, - 135.374, - 137.977, - 135.063, - 134.647, - 131.529, - 124.041, - 87.7284, - 85.0509, - 72.6978, - 74.8696, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 81.2074, - 82.4488, - 130.369, - 117.397, - 114.228, - 118.597, - 122.657, - 127.271, - 125.734, - 126.648, - 127.612, - 121.029, - 84.843, - 82.3218, - 70.757, - 72.6708, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.2832, - 77.8289, - 131.034, - 119.115, - 120.381, - 123.198, - 122.685, - 126.631, - 125.8, - 125.527, - 126.347, - 122.171, - 87.7384, - 85.7473, - 72.2303, - 73.0312, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.1811, - 69.3571, - 129.61, - 123.291, - 125.708, - 135.655, - 141.792, - 145.267, - 139.921, - 140.845, - 142.165, - 133.427, - 94.2794, - 87.8435, - 70.1639, - 69.64, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 68.789, - 62.8391, - 76.5092, - 70.8421, - 72.5226, - 80.6939, - 89.3684, - 89.9595, - 58.6703, - 56.3079, - 54.2341, - 53.9706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.5829, - 29.4769, - 29.4311, - 25.239, - 28.1271, - 29.5355, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.3406, - 21.0933, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 85.0074, - 81.8724, - 128.434, - 119.469, - 117.72, - 120.887, - 122.664, - 124.63, - 119.242, - 118.264, - 120.064, - 114.243, - 84.6477, - 85.9791, - 76.7819, - 79.5819, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.574, - 91.18, - 133.428, - 120.105, - 116.96, - 120.703, - 122.511, - 124.757, - 121.607, - 121.502, - 125.737, - 117.521, - 83.5195, - 83.6921, - 74.9305, - 78.3314, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 88.3255, - 83.8613, - 130.367, - 118.412, - 118.386, - 124.314, - 128.832, - 134.719, - 131.073, - 131.513, - 134.676, - 124.996, - 88.1483, - 82.9673, - 69.6922, - 72.431, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.6865, - 78.7972, - 128.897, - 119.916, - 123.694, - 130.92, - 132.223, - 138.328, - 134.88, - 134.717, - 139.558, - 129.299, - 91.851, - 89.2706, - 74.6701, - 73.6442, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.5923, - 50.8136, - 70.4639, - 75.6751, - 77.8559, - 83.5333, - 83.3455, - 79.8957, - 50.416, - 47.6804, - 45.3121, - 44.5892, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.8954, - 20.4926, - 21.1161, - 20.7317, - 22.5712, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.9661, - 78.4209, - 128.068, - 120.228, - 122.232, - 128.351, - 133.361, - 137.966, - 135.023, - 136.043, - 137.51, - 127.135, - 89.708, - 85.6968, - 69.9352, - 69.2689, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8279, - 68.543, - 128.276, - 123.124, - 127.45, - 137.01, - 143.12, - 149.938, - 148.811, - 153.625, - 155.723, - 142.307, - 101.58, - 94.3632, - 77.483, - 74.4637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.9313, - 72.8519, - 125.761, - 116.131, - 115.616, - 118.396, - 121.175, - 124.148, - 120.239, - 119.952, - 120.909, - 113.931, - 83.5578, - 83.2938, - 71.9689, - 74.3505, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.305, - 78.6787, - 128.767, - 117.487, - 119.011, - 124.25, - 125.161, - 128.011, - 122.36, - 120.84, - 123.713, - 117.172, - 82.9132, - 82.5355, - 72.2463, - 75.3409, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0567, - 86.869, - 135.769, - 121.407, - 118.063, - 120.168, - 120.839, - 121.408, - 116.83, - 116.419, - 119.312, - 117.314, - 89.3496, - 88.8048, - 78.5845, - 80.3799, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 80.9004, - 68.1961, - 77.0203, - 78.0225, - 79.1845, - 81.9923, - 80.7572, - 77.9939, - 55.052, - 57.562, - 60.5822, - 64.7182, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.1838, - 84.9033, - 130.385, - 119.919, - 119.306, - 123.454, - 124.22, - 124.452, - 121.401, - 121.577, - 123.318, - 114.867, - 86.1306, - 84.0178, - 73.4622, - 76.872, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.3915, - 82.324, - 128.165, - 119.55, - 120.943, - 126.516, - 129.961, - 135.918, - 133.583, - 133.788, - 132.953, - 120.288, - 88.3243, - 84.1777, - 69.6655, - 70.7734, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.8507, - 73.0825, - 127.65, - 121.858, - 126.34, - 135.444, - 139.881, - 146.08, - 141.763, - 140.45, - 142.052, - 131.52, - 95.68, - 88.7978, - 71.6284, - 70.0038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.0338, - 66.7377, - 129.097, - 127.287, - 130.95, - 142.693, - 144.605, - 149.31, - 146.199, - 145.083, - 146.327, - 134.154, - 100.622, - 93.4091, - 73.7635, - 74.4936, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.5939, - 61.398, - 129.761, - 125.369, - 132.447, - 142.265, - 143.388, - 148.734, - 146.38, - 146.5, - 145.575, - 130.295, - 94.4359, - 89.4222, - 73.1822, - 71.6438, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 42.2636, - 47.6857, - 73.3371, - 76.9813, - 78.8421, - 85.4943, - 87.3965, - 84.7442, - 53.8986, - 49.861, - 47.6768, - 43.6239, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.9283, - 79.8551, - 134.102, - 121.489, - 117.108, - 117.637, - 118.244, - 116.48, - 112.39, - 114.382, - 118.608, - 107.881, - 74.618, - 85.984, - 77.7682, - 80.5875, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 89.8266, - 86.848, - 132.776, - 118.442, - 116.302, - 119.762, - 121.633, - 123.643, - 122.477, - 123.919, - 125.068, - 112.251, - 78.2212, - 85.6934, - 71.4617, - 71.6353, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 87.7677, - 82.3362, - 131.651, - 118.206, - 118.501, - 123.843, - 127.326, - 132.751, - 131.767, - 132.713, - 136.447, - 124.041, - 87.1944, - 91.2282, - 73.0287, - 71.5962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4917, - 70.8912, - 126.346, - 121.111, - 123.364, - 129.271, - 135.667, - 143.393, - 144.88, - 149.774, - 156.483, - 141.859, - 93.5192, - 94.3326, - 73.1323, - 68.8229, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.7122, - 66.7855, - 125.881, - 121.267, - 125.944, - 135.4, - 141.945, - 149.745, - 148.69, - 152.957, - 158.845, - 144.611, - 96.5542, - 99.3558, - 78.2298, - 73.5792, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 54.3162, - 45.0313, - 69.98, - 77.8579, - 84.4665, - 92.0897, - 94.2012, - 94.5013, - 66.0984, - 65.0125, - 64.7387, - 55.3079, - 26.7323, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 22.2332, - 22.8165, - 32.7295, - 37.6599, - 42.0701, - 38.1407, - 44.4115, - 39.7426, - 34.3168, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.4291, - 62.9524, - 130.125, - 128.084, - 132.488, - 140.636, - 147.665, - 159.957, - 160.645, - 165.921, - 172.007, - 156.374, - 105.246, - 106.153, - 85.1244, - 80.1288, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.7446, - 60.8894, - 131.822, - 130.161, - 138.527, - 149.876, - 153.78, - 164.872, - 164.495, - 167.643, - 171.376, - 152.257, - 102.968, - 104.106, - 82.4524, - 78.2332, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.1166, - 63.819, - 135.209, - 128.197, - 128.413, - 134.494, - 134.603, - 144.112, - 144.12, - 146.048, - 146.055, - 126.037, - 80.1284, - 86.106, - 68.9044, - 67.4134, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.5567, - 68.3103, - 126.165, - 115.742, - 112.888, - 115.208, - 117.619, - 122.46, - 119.82, - 121.409, - 126.168, - 113.241, - 74.1242, - 81.7248, - 65.0699, - 67.1585, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 79.8937, - 77.5744, - 130.24, - 116.98, - 114.939, - 119.615, - 122.49, - 128.465, - 127.97, - 129.468, - 134.053, - 122.069, - 81.1643, - 87.5077, - 70.7853, - 70.079, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.8839, - 54.3597, - 70.9123, - 72.6442, - 74.5289, - 78.9558, - 79.7041, - 80.2502, - 52.361, - 52.0242, - 51.074, - 41.9165, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 19.7223, - 19.2984, - 18.7714, - 18.7714, - 20.8346, - 22.3906, - 23.2765, - 30.6705, - 32.2232, - 23.4251, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 74.3379, - 76.7696, - 128.834, - 122.656, - 124.814, - 131.312, - 135.25, - 141.939, - 140.479, - 141.374, - 143.878, - 129.222, - 86.5641, - 91.8302, - 73.8203, - 72.2079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.6246, - 65.8477, - 131.372, - 124.827, - 125.691, - 130.759, - 132.752, - 135.725, - 130.679, - 130.025, - 131.039, - 117.887, - 76.7346, - 86.1244, - 68.4688, - 66.315, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.4407, - 75.4997, - 128.957, - 116.648, - 113.645, - 117.508, - 120.712, - 126.149, - 125.324, - 127.242, - 132.425, - 118.756, - 75.9322, - 85.9619, - 68.4119, - 68.4751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.6345, - 74.551, - 126.805, - 119.035, - 120.842, - 127.823, - 132.001, - 140.423, - 139.36, - 144.286, - 151.053, - 135.855, - 89.5411, - 94.8905, - 74.4708, - 71.4572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 57.5239, - 64.2065, - 126.383, - 121.758, - 125.553, - 134.499, - 139.971, - 149.351, - 150.718, - 155.601, - 162.368, - 148.948, - 99.1819, - 102.062, - 77.8837, - 74.6351, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.4773, - 46.4038, - 73.8587, - 81.9449, - 86.7091, - 93.9951, - 97.8267, - 99.6959, - 71.2797, - 70.8948, - 73.2264, - 62.7134, - 28.1005, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 21.4214, - 27.1091, - 29.0738, - 28.741, - 34.6766, - 42.2791, - 40.22, - 45.0991, - 44.1284, - 45.5909, - 37.87, - 38.2507, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.8532, - 61.3864, - 133.685, - 132.233, - 138.316, - 147.485, - 150.226, - 161.462, - 162.997, - 168.239, - 174.332, - 159.009, - 104.438, - 110.972, - 87.2418, - 79.706, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0407, - 59.0522, - 131.243, - 128.37, - 133.604, - 146.386, - 149.751, - 160.785, - 162.466, - 167.56, - 173.898, - 157.862, - 102.947, - 107.683, - 83.2585, - 77.247, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0113, - 58.6284, - 128.191, - 121.964, - 123.165, - 129.6, - 132.302, - 142.052, - 145.55, - 153.425, - 157.602, - 138.45, - 88.281, - 92.9608, - 71.7437, - 68.7407, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.5548, - 60.7805, - 125.684, - 118.949, - 120.68, - 126.622, - 129.827, - 137.531, - 136.577, - 138.099, - 141.574, - 125.918, - 78.6719, - 86.0583, - 68.4183, - 67.742, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.152, - 61.7136, - 128.356, - 124.008, - 125.953, - 134.08, - 139.931, - 149.139, - 149.117, - 154.462, - 161.711, - 147.407, - 96.131, - 102.454, - 80.3328, - 75.8685, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 43.6683, - 43.7258, - 71.7377, - 77.1791, - 78.296, - 82.8729, - 86.2269, - 88.0662, - 60.9816, - 61.6987, - 64.5998, - 56.7667, - 23.9401, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 25.04, - 30.5038, - 28.4587, - 25.8726, - 26.0957, - 33.045, - 31.8747, - 41.1916, - 41.7748, - 31.4146, - 20.8047, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.1829, - 63.2713, - 134.211, - 130.156, - 136.589, - 146.542, - 149.222, - 159.608, - 160.34, - 164.756, - 169.711, - 153.234, - 99.254, - 102.567, - 82.4729, - 77.4227, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.1528, - 61.6384, - 136.06, - 132.857, - 134.569, - 141.395, - 145.353, - 156.327, - 157.611, - 163.197, - 171.062, - 158.189, - 104.83, - 108.849, - 89.532, - 84.8615, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.0389, - 64.8366, - 141.346, - 139.321, - 144.299, - 151.952, - 152.562, - 162.376, - 163.236, - 168.388, - 175.314, - 160.928, - 106.651, - 110.023, - 91.6166, - 86.5361, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.9963, - 64.093, - 140.857, - 139.35, - 146.126, - 157.494, - 162.556, - 173.804, - 175.24, - 179.983, - 188.079, - 172.409, - 114.799, - 115.247, - 95.0421, - 90.5571, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3695, - 65.4903, - 144.748, - 149.109, - 156.554, - 168.384, - 174.395, - 187.699, - 188.245, - 189.789, - 191.434, - 170.932, - 114.593, - 116.762, - 94.9978, - 90.3065, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.9595, - 44.4482, - 69.3626, - 72.3624, - 75.5321, - 80.0536, - 78.7292, - 77.2167, - 48.6567, - 46.9404, - 45.868, - 36.2655, - 22.2226, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.5402, - 37.4433, - 32.9169, - 30.4931, - 31.9691, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.7083, - 66.1048, - 124.628, - 115.509, - 115.295, - 119.871, - 122.147, - 126.515, - 123.744, - 124.697, - 127.929, - 113.915, - 71.3495, - 78.9198, - 65.7292, - 67.9154, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.369, - 70.151, - 127.021, - 116.399, - 116.048, - 119.76, - 121.555, - 126.9, - 125.811, - 125.623, - 126.897, - 112.548, - 70.7588, - 77.7666, - 66.6192, - 69.4532, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8006, - 75.2788, - 128.81, - 116.877, - 116.2, - 120.524, - 122.047, - 125.011, - 121.618, - 120.914, - 123.746, - 113.029, - 73.9834, - 81.9082, - 67.3704, - 67.7235, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 61.3638, - 70.2604, - 126.562, - 118.576, - 118.586, - 123.154, - 125.612, - 131.682, - 131.134, - 134.266, - 140.869, - 128.786, - 84.7729, - 89.89, - 73.7651, - 71.0646, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.7243, - 64.3224, - 126.366, - 120.706, - 122.544, - 130.042, - 134.492, - 142.155, - 142.368, - 147.787, - 155.382, - 141.624, - 91.4614, - 88.4601, - 70.4178, - 69.3797, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.0842, - 46.0879, - 74.0487, - 82.7558, - 84.3139, - 91.8135, - 95.1591, - 94.1539, - 66.0308, - 66.6632, - 68.8192, - 60.3246, - 38.8048, - 39.0184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 19.0765, - 27.1583, - 32.9917, - 34.3032, - 28.7563, - 20.4896, - 30.7491, - 34.6851, - 41.9585, - 46.1535, - 44.0584, - 38.6508, - 37.4947, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.0391, - 62.8071, - 134.314, - 128.465, - 128.327, - 131.675, - 131.761, - 136.86, - 132.215, - 130.117, - 132.756, - 122.496, - 83.3951, - 89.1851, - 76.1967, - 75.5725, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.2543, - 62.7236, - 132.484, - 127.787, - 127.896, - 132.541, - 134.898, - 143.959, - 144.492, - 149.319, - 154.887, - 140.308, - 90.1835, - 90.2344, - 73.5422, - 69.9378, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 39.2137, - 60.5049, - 128.769, - 122.621, - 123.305, - 126.911, - 128.55, - 134.286, - 130.593, - 130.716, - 132.876, - 117.576, - 74.4659, - 78.6808, - 66.8812, - 65.9344, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1688, - 62.6748, - 125.124, - 119.281, - 120.392, - 124.942, - 127.83, - 132.31, - 129.663, - 131.354, - 136.478, - 122.485, - 77.7101, - 81.0276, - 67.4824, - 66.0547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.3424, - 64.4753, - 124.595, - 117.906, - 118.406, - 123.321, - 127.374, - 134.869, - 135.002, - 139.331, - 148.026, - 138.45, - 93.9842, - 96.6093, - 81.8488, - 78.0342, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 37.5736, - 43.8939, - 71.6506, - 76.011, - 79.2391, - 85.9904, - 88.1892, - 89.5123, - 60.9987, - 57.9527, - 57.3685, - 47.3507, - 24.8517, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 20.0854, - 20.6267, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 22.7355, - 26.607, - 27.0776, - 22.1449, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.5681, - 66.3784, - 126.361, - 120.779, - 120.521, - 126.185, - 127.953, - 131.208, - 129.658, - 134.934, - 143.569, - 133.209, - 89.0637, - 92.7855, - 79.1082, - 75.7776, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.769, - 64.9103, - 137.224, - 130.992, - 131.823, - 139.611, - 142.618, - 151.561, - 149.946, - 152.682, - 152.739, - 133.288, - 82.2126, - 83.7803, - 70.7446, - 68.4837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.0623, - 64.038, - 127.931, - 121.924, - 121.913, - 126.77, - 128.229, - 132.896, - 130.55, - 131.374, - 136.072, - 122.141, - 78.0349, - 82.8535, - 70.6593, - 68.6232, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.534, - 60.0089, - 126.871, - 119.838, - 120.58, - 126.795, - 131.086, - 138.568, - 140.457, - 145.869, - 152.216, - 136.241, - 86.6061, - 87.5908, - 72.2149, - 68.9076, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.618, - 60.2328, - 128.616, - 125.855, - 130.205, - 137.279, - 140.82, - 149.723, - 149.823, - 156.073, - 163.947, - 150.005, - 100.953, - 97.1752, - 82.3749, - 78.4735, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 37.6882, - 46.9793, - 77.0701, - 86.4713, - 90.7444, - 95.6547, - 97.3365, - 97.9433, - 71.222, - 73.9435, - 75.7702, - 66.9583, - 43.0092, - 44.4502, - 38.5038, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 19.2731, - 31.2054, - 36.2287, - 38.889, - 38.172, - 35.3701, - 32.7311, - 34.3047, - 39.4602, - 44.7426, - 46.7916, - 45.3803, - 44.9368, - 46.3228, - 38.1948, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.8589, - 65.5684, - 144.535, - 141.984, - 145.659, - 152.241, - 154.308, - 165.571, - 165.349, - 164.595, - 168.628, - 150.286, - 98.0196, - 93.5665, - 79.3866, - 74.9124, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.4022, - 62.6383, - 135.175, - 131.618, - 133.625, - 140.946, - 146.244, - 157.409, - 157.625, - 161.693, - 166.174, - 150.201, - 96.0865, - 91.109, - 76.3352, - 72.127, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 34.5302, - 58.1158, - 131.084, - 128.3, - 131.648, - 140.337, - 145.856, - 157.564, - 156.871, - 160.652, - 169.102, - 154.733, - 103.853, - 102.152, - 86.4883, - 81.043, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 37.6487, - 62.003, - 139.446, - 137.906, - 143.738, - 152.449, - 154.172, - 164.235, - 165.526, - 170.759, - 179.88, - 167.905, - 115.776, - 109.426, - 91.0097, - 87.6493, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 42.8851, - 68.8443, - 150.728, - 150.571, - 154.553, - 162.81, - 166.841, - 179.973, - 182.987, - 189.059, - 193.68, - 175.524, - 120.186, - 112.16, - 91.3878, - 87.0327, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 47.2172, - 55.4205, - 88.1531, - 94.3473, - 96.6294, - 103.09, - 105.696, - 104.775, - 74.932, - 76.291, - 74.8477, - 61.5106, - 38.2127, - 33.3459, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.8031, - 29.5567, - 30.0297, - 33.1169, - 26.2197, - 22.0104, - 29.8991, - 29.805, - 43.4732, - 43.262, - 44.4254, - 40.4732, - 35.0622, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.7472, - 58.2243, - 127.954, - 122.102, - 123.479, - 128.871, - 131.774, - 140.554, - 140.774, - 149.723, - 159.505, - 146.714, - 97.3258, - 92.1289, - 75.1853, - 70.4336, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.2205, - 58.6763, - 131.154, - 127.893, - 132.55, - 140.255, - 143.895, - 154.066, - 153.286, - 158.36, - 166.178, - 152.008, - 104.901, - 100.167, - 82.7435, - 78.6812, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.0223, - 60.2995, - 137.967, - 137.604, - 142.959, - 152.617, - 155.874, - 166.593, - 168.194, - 174.007, - 180.822, - 167.851, - 117.818, - 112.151, - 92.2795, - 87.5903, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.835, - 65.6699, - 146.917, - 143.135, - 146.877, - 157.39, - 163.599, - 176.08, - 177.324, - 180.874, - 188.515, - 174.686, - 118.414, - 107.539, - 90.9205, - 86.1264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 47.4124, - 69.9245, - 149.821, - 147.249, - 150.162, - 156.323, - 157.526, - 168.027, - 167.913, - 173.603, - 182.131, - 164.107, - 111.132, - 105.028, - 88.0136, - 81.831, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 38.0113, - 47.846, - 77.6638, - 82.8101, - 85.4024, - 90.6292, - 92.6013, - 94.0708, - 67.9454, - 71.1323, - 73.1412, - 62.8177, - 39.7923, - 40.4683, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.482, - 28.5051, - 32.017, - 31.5775, - 26.9776, - 24.3388, - 27.726, - 33.1362, - 41.692, - 44.9824, - 43.355, - 45.2788, - 43.5553, - 40.4872, - 35.0372, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 37.7471, - 62.4864, - 136.434, - 132.212, - 133.91, - 141.817, - 145.867, - 154.757, - 155.024, - 162.016, - 174.378, - 161.139, - 108.2, - 101.263, - 88.66, - 83.2539, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 46.4421, - 71.1007, - 148.301, - 145.521, - 148.258, - 152.931, - 152.667, - 160.065, - 159.495, - 162.482, - 167.864, - 153.517, - 104.212, - 100.28, - 86.088, - 82.4135, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.9368, - 67.6722, - 147.521, - 144.574, - 145.272, - 151.639, - 150.254, - 158.385, - 156.288, - 156.628, - 155.792, - 139.086, - 95.2451, - 94.772, - 84.7145, - 81.3023, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.4624, - 70.2877, - 150.636, - 149.334, - 152.466, - 158.872, - 160.123, - 172.598, - 175.783, - 180.294, - 188.872, - 175.125, - 122.873, - 113.839, - 95.9802, - 91.3442, - 41.6166, - 43.8912, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 51.3942, - 75.8401, - 160.924, - 159.327, - 164.61, - 174.91, - 174.524, - 187.088, - 191.371, - 198.295, - 197.203, - 174.797, - 120.109, - 113.761, - 98.6324, - 94.0161, - 41.6166, - 44.328, - 40.6651, - 36.9883, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 55.0653, - 61.5475, - 92.2712, - 101.527, - 108.619, - 114.725, - 116.985, - 115.622, - 83.1234, - 77.4784, - 73.8617, - 62.0457, - 32.0179, - 39.8192, - 39.6141, - 33.5754, - 38.9989, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 27.9937, - 40.5519, - 40.1898, - 43.1526, - 42.5758, - 44.2812, - 40.6347, - 46.6996, - 45.9491, - 48.8877, - 42.8779, - 48.7192, - 42.5042, - 46.7276, - 46.6115, - 44.262, - 39.2828, - 38.9584, - 33.5754, - 38.273, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.3122, - 37.4182, - 38.5624, - 41.9616, - 40.7537, - 41.376, - 34.673, - 38.3448, - 39.3285, - 46.3254, - 44.2231, - 46.0086, - 41.7476, - 45.3716, - 40.165, - 37.4708, - 35.9762, - 34.7379, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.4475, - 63.2309, - 136.576, - 131.774, - 133.582, - 139.793, - 144.552, - 154.588, - 156.853, - 165.411, - 172.347, - 158.304, - 108.565, - 103.181, - 85.1724, - 78.5426, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 34.4608, - 60.6191, - 135.035, - 131.593, - 135.807, - 144.967, - 147.986, - 159.688, - 163.708, - 172.084, - 180.383, - 164.111, - 112.446, - 107.492, - 92.4108, - 87.6429, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.029, - 67.7405, - 147.362, - 147.151, - 150.956, - 160.051, - 165.412, - 178.98, - 182.611, - 190.276, - 197.942, - 184.529, - 131.691, - 122.398, - 101.919, - 95.6129, - 41.6166, - 44.3309, - 40.5071, - 42.0127, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 52.8469, - 76.1312, - 164.442, - 167.055, - 174.091, - 183.095, - 184.461, - 197.069, - 203.315, - 214.152, - 218.819, - 200.012, - 142.555, - 126.957, - 104.333, - 97.9217, - 47.3522, - 40.6726, - 42.2983, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 54.4545, - 62.2385, - 97.1897, - 107.672, - 112.136, - 118.59, - 122.749, - 127.635, - 96.6729, - 97.5014, - 100.49, - 91.9638, - 49.7253, - 47.0907, - 53.8132, - 48.154, - 41.006, - 39.3456, - 37.241, - 38.2512, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 27.7897, - 37.4294, - 39.3973, - 43.9902, - 44.7326, - 49.0706, - 46.918, - 50.2413, - 46.7583, - 54.2284, - 50.1686, - 50.6717, - 47.5885, - 51.393, - 50.31, - 50.0658, - 45.7723, - 47.8415, - 38.0145, - 45.3427, - 45.1451, - 42.3807, - 42.3128, - 42.3515, - 61.4454, - 87.9024, - 178.222, - 175.99, - 180.207, - 189.254, - 188.357, - 194.164, - 184.555, - 187.238, - 186.724, - 167.208, - 114.255, - 107.468, - 96.8432, - 93.1377, - 41.6166, - 44.0362, - 40.5905, - 42.201, - 40.4416, - 41.9224, - 38.9362, - 38.7523, - 56.8425, - 77.0015, - 162.326, - 159.031, - 163.428, - 169.726, - 167.377, - 179.461, - 165.433, - 140.453, - 144.898, - 144.305, - 101.433, - 97.2058, - 86.1532, - 82.2764, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 49.5294, - 74.2807, - 163.04, - 155.226, - 149.508, - 156.335, - 157.139, - 166.377, - 168.007, - 174.004, - 180.992, - 165.504, - 112.133, - 103.68, - 93.2984, - 88.2411, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 50.2052, - 75.3768, - 158.096, - 153.897, - 154.367, - 159.764, - 160.553, - 172.794, - 176.017, - 181.31, - 188.91, - 176.213, - 120.439, - 111.134, - 96.1823, - 91.4155, - 41.6166, - 44.5323, - 38.9362, - 38.9362, - 43.5449, - 38.9362, - 38.9362, - 34.2146, - 52.1434, - 75.832, - 161.881, - 158.778, - 159.565, - 164.934, - 168.334, - 181.133, - 183.116, - 191.664, - 199.86, - 185.156, - 133.389, - 123.836, - 104.565, - 95.45, - 47.1942, - 40.5303, - 42.1295, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 50.4699, - 57.0338, - 89.5093, - 98.2043, - 102.354, - 109.235, - 113.742, - 117.252, - 89.3465, - 94.1742, - 94.6693, - 80.8635, - 45.54, - 44.5284, - 46.6009, - 40.4631, - 37.3388, - 35.3927, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 23.893, - 31.5964, - 39.8916, - 37.9223, - 44.8673, - 40.8063, - 44.4725, - 39.6935, - 49.063, - 47.7767, - 55.5106, - 49.2277, - 54.5874, - 47.7973, - 57.3912, - 46.3232, - 41.2949, - 36.6476, - 35.0666, - 42.8602, - 42.394, - 38.9362, - 38.9362, - 34.2146, - 51.0176, - 77.2111, - 163.975, - 164.133, - 171.458, - 182.86, - 185.69, - 200.225, - 207.667, - 218.618, - 223.04, - 203.129, - 145.857, - 132.971, - 112.46, - 101.449, - 46.327, - 44.3437, - 42.2325, - 40.5486, - 43.3079, - 38.9362, - 38.9362, - 35.4955, - 56.0097, - 80.9663, - 170.519, - 171.558, - 180.337, - 192.46, - 196.779, - 221.416, - 230.861, - 231.478, - 233.301, - 215.573, - 150.935, - 130.769, - 106.225, - 98.3201, - 47.3371, - 42.5317, - 40.7036, - 43.8262, - 41.7352, - 40.2955, - 38.9362, - 34.2146, - 50.2569, - 76.2754, - 165.894, - 166.405, - 171.05, - 179.005, - 181.102, - 193.768, - 194.582, - 198.032, - 202.401, - 183.049, - 126.684, - 114.322, - 95.7278, - 88.0385, - 41.6166, - 42.4275, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 40.6255, - 64.5003, - 143.482, - 140.56, - 146.776, - 156.185, - 158.851, - 170.161, - 173.71, - 180.588, - 188.605, - 172.501, - 117.267, - 107.136, - 92.3655, - 86.2837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.286, - 67.9923, - 149.315, - 149.746, - 153.872, - 163.297, - 164.402, - 174.823, - 178.843, - 185.58, - 192.488, - 176.751, - 123.447, - 114.691, - 97.8279, - 90.8628, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 44.6952, - 54.0664, - 87.9593, - 96.1507, - 98.9663, - 105.266, - 108.893, - 111.455, - 81.9898, - 83.5974, - 85.7555, - 74.9004, - 43.9267, - 42.9032, - 44.3724, - 37.473, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 22.8747, - 34.9112, - 38.5529, - 41.7981, - 41.8734, - 43.2313, - 38.9733, - 43.6701, - 43.8712, - 47.0199, - 50.7143, - 47.5638, - 48.2188, - 44.6832, - 48.593, - 40.9827, - 33.5754, - 37.8721, - 33.5754, - 42.366, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 46.3487, - 71.8328, - 157.981, - 157.303, - 160.162, - 168.558, - 171.841, - 185.954, - 189.417, - 194.657, - 200.163, - 180.558, - 126.138, - 115.4, - 97.2838, - 91.373, - 41.6166, - 42.0957, - 40.4904, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 53.2926, - 76.1921, - 162.199, - 159.538, - 162.493, - 168.727, - 171.107, - 184.944, - 187.726, - 193.197, - 198.915, - 181.314, - 125.734, - 114.518, - 96.7329, - 90.0728, - 41.6166, - 41.5805, - 40.2179, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 47.0066, - 73.5484, - 161.117, - 157.988, - 160.225, - 165.749, - 166.594, - 180.533, - 183.688, - 190.568, - 196.046, - 176.356, - 120.142, - 110.104, - 93.4373, - 86.8373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 43.4058, - 67.4768, - 151.342, - 151.186, - 155.036, - 163.369, - 168.158, - 181.031, - 182.766, - 191.158, - 199.768, - 186.927, - 136.072, - 127.73, - 105.675, - 97.2228, - 45.3655, - 40.7566, - 43.6201, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 48.4657, - 74.9302, - 164.579, - 164.54, - 169.419, - 179.131, - 182.12, - 195.115, - 194.666, - 205.14, - 217.956, - 191.46, - 137.172, - 131.869, - 115.503, - 104.751, - 51.149, - 45.9375, - 44.3028, - 33.5754, - 38.1535, - 33.5754, - 33.5754, - 26.1734, - 52.8389, - 61.1192, - 95.4662, - 107.007, - 114.54, - 122.832, - 127.042, - 128.877, - 99.644, - 102.101, - 104.116, - 93.6105, - 50.1247, - 47.0144, - 53.0985, - 45.0806, - 44.6686, - 37.4366, - 38.9231, - 33.5754, - 37.7027, - 33.5754, - 33.5754, - 26.1734, - 26.9605, - 34.6029, - 43.3024, - 40.565, - 48.1295, - 44.7838, - 52.0177, - 47.351, - 56.0725, - 52.216, - 60.4164, - 53.2734, - 58.5794, - 50.4741, - 62.2833, - 47.6445, - 47.7476, - 44.9171, - 40.51, - 44.8603, - 43.7901, - 42.2282, - 42.0918, - 37.9532, - 59.8601, - 83.8223, - 173.585, - 174.439, - 180.255, - 191.034, - 198.996, - 223.288, - 224.332, - 228.653, - 236.469, - 222.903, - 170.413, - 156.192, - 121.69, - 107.725, - 50.7132, - 49.0044, - 43.2153, - 43.3173, - 41.6221, - 40.2571, - 42.6657, - 34.2146, - 57.4315, - 82.7227, - 174.964, - 175.313, - 180.917, - 191.575, - 196.725, - 220.556, - 228.578, - 230.428, - 235.475, - 216.219, - 153.331, - 133.903, - 111.424, - 103.002, - 47.1273, - 48.58, - 43.7784, - 44.595, - 44.0435, - 38.9362, - 43.5038, - 36.6819, - 57.8219, - 80.3781, - 169.609, - 168.873, - 174.987, - 184.451, - 188.176, - 202.989, - 209.921, - 222.049, - 225.338, - 198.407, - 136.558, - 123.628, - 105.676, - 100.01, - 47.6686, - 48.7252, - 38.9362, - 43.5535, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 49.5892, - 76.2959, - 166.449, - 164.986, - 168.561, - 177.766, - 179.416, - 194.0, - 205.258, - 220.558, - 225.96, - 206.924, - 140.781, - 127.773, - 108.266, - 99.6405, - 47.4092, - 40.7942, - 42.6126, - 44.1141, - 38.9362, - 42.6497, - 38.9362, - 36.6819, - 47.8256, - 73.1196, - 163.686, - 161.049, - 163.083, - 171.578, - 175.851, - 192.346, - 201.453, - 218.077, - 224.355, - 203.692, - 139.746, - 122.483, - 101.139, - 95.1179, - 43.3981, - 42.426, - 43.6435, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 48.4555, - 55.6408, - 86.9337, - 92.244, - 95.1485, - 102.142, - 106.066, - 110.269, - 83.5964, - 88.2165, - 92.1577, - 83.6654, - 48.4356, - 47.031, - 59.4297, - 44.6869, - 41.5394, - 38.3123, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 24.5123, - 35.3661, - 37.2975, - 40.1954, - 39.5906, - 42.6903, - 40.9116, - 41.4746, - 46.9348, - 50.1979, - 53.2835, - 54.8975, - 55.734, - 54.0741, - 60.9568, - 53.3001, - 46.8896, - 41.1054, - 39.3078, - 41.5509, - 41.4085, - 41.3429, - 41.046, - 37.7176, - 47.2819, - 73.0506, - 162.641, - 161.747, - 168.063, - 179.831, - 186.613, - 204.564, - 218.925, - 231.067, - 240.167, - 224.568, - 168.531, - 150.237, - 122.516, - 109.776, - 50.769, - 49.1468, - 43.5484, - 36.5959, - 35.0726, - 35.0097, - 36.4128, - 28.6407, - 31.9025, - 39.9773, - 40.6932, - 44.5379, - 44.4366, - 48.3603, - 48.8608, - 54.5533, - 55.1346, - 60.0521, - 55.0052, - 58.5356, - 57.2107, - 58.0062, - 62.3018, - 57.6018, - 52.8137, - 48.5705, - 45.674, - 47.0158, - 48.88, - 43.4671, - 43.9847, - 41.3714, - 61.914, - 86.9467, - 181.086, - 181.449, - 187.562, - 199.634, - 206.893, - 236.446, - 243.442, - 249.831, - 252.822, - 237.092, - 178.633, - 160.063, - 129.085, - 120.251, - 55.3817, - 56.595, - 48.1278, - 49.9898, - 42.4037, - 42.1935, - 43.3778, - 39.4545, - 59.3723, - 84.6907, - 180.423, - 180.836, - 186.225, - 197.275, - 205.302, - 231.362, - 240.712, - 249.681, - 263.722, - 249.91, - 188.621, - 173.452, - 134.803, - 121.631, - 56.1207, - 57.5053, - 50.6343, - 49.1768, - 44.4999, - 44.3999, - 44.3927, - 42.8778, - 61.4139, - 86.7599, - 186.891, - 190.837, - 198.747, - 207.645, - 211.838, - 241.774, - 262.163, - 268.742, - 274.231, - 262.211, - 199.747, - 178.862, - 145.017, - 122.382, - 55.8128, - 58.1977, - 51.3724, - 48.2751, - 42.5604, - 39.8775, - 38.8493, - 38.2728, - 62.2958, - 66.9385, - 99.3759, - 111.364, - 120.912, - 129.247, - 133.878, - 135.635, - 106.555, - 114.328, - 119.437, - 104.461, - 50.5622, - 47.9985, - 60.8511, - 54.6621, - 49.8308, - 46.5466, - 46.981, - 41.9778, - 42.7805, - 39.0512, - 37.0722, - 31.2265, - 33.3839, - 38.6382, - 43.8889, - 43.7071, - 48.3729, - 47.5619, - 51.6026, - 50.1884, - 54.9051, - 53.3735, - 58.4586, - 53.8277, - 54.7098, - 50.0536, - 59.649, - 48.6389, - 48.6278, - 45.5337, - 44.6283, - 44.7576, - 44.0365, - 43.6571, - 43.2912, - 40.7662, - 53.3583, - 77.4842, - 168.633, - 166.629, - 172.569, - 182.683, - 184.18, - 199.375, - 207.337, - 223.627, - 228.76, - 212.11, - 141.785, - 128.243, - 108.852, - 100.156, - 47.3052, - 46.6773, - 44.1374, - 42.0815, - 40.4822, - 43.2651, - 38.9362, - 41.0257, - 56.3967, - 77.2287, - 163.718, - 162.252, - 165.364, - 174.903, - 178.224, - 190.424, - 186.102, - 192.375, - 182.099, - 166.713, - 117.976, - 111.192, - 98.874, - 93.7265, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 58.1613, - 81.4868, - 169.651, - 166.546, - 171.223, - 180.723, - 181.846, - 198.188, - 203.565, - 211.162, - 224.519, - 209.796, - 145.074, - 133.809, - 111.991, - 101.711, - 46.3424, - 47.2849, - 43.4706, - 43.8225, - 40.3381, - 41.6981, - 38.9362, - 37.268, - 52.7569, - 76.9014, - 167.819, - 166.542, - 171.1, - 182.61, - 189.547, - 206.086, - 218.413, - 229.232, - 234.381, - 219.312, - 163.146, - 143.124, - 117.897, - 105.469, - 51.9356, - 44.7061, - 48.4803, - 43.1027, - 43.7765, - 41.7532, - 40.3411, - 36.6819, - 55.673, - 80.1385, - 172.714, - 172.068, - 177.2, - 187.604, - 191.443, - 211.824, - 228.314, - 235.905, - 242.704, - 226.84, - 169.503, - 147.46, - 118.477, - 106.185, - 52.4005, - 50.5653, - 44.8634, - 39.272, - 39.0331, - 35.3188, - 36.9355, - 28.6407, - 56.9511, - 64.0667, - 100.283, - 108.994, - 113.569, - 123.17, - 131.912, - 135.384, - 102.516, - 105.33, - 107.235, - 95.356, - 49.603, - 46.9469, - 58.7117, - 48.3927, - 42.806, - 43.7419, - 37.1876, - 35.3266, - 37.1296, - 35.2908, - 33.5754, - 28.6407, - 27.5441, - 39.43, - 38.2675, - 45.2798, - 41.5173, - 48.8497, - 43.617, - 51.4193, - 46.1962, - 56.6401, - 52.1132, - 60.4468, - 50.5762, - 55.8279, - 56.1373, - 50.161, - 44.2489, - 40.4876, - 36.8065, - 43.5722, - 41.8963, - 43.0588, - 42.8575, - 39.1493, - 53.8225, - 77.2312, - 167.766, - 166.532, - 171.628, - 180.525, - 183.954, - 198.706, - 207.218, - 224.855, - 234.923, - 221.492, - 166.208, - 143.212, - 116.2, - 104.984, - 51.8005, - 45.1544, - 42.5807, - 43.6598, - 43.3088, - 41.5696, - 40.2248, - 39.1493, - 53.128, - 77.2528, - 169.719, - 169.251, - 173.099, - 182.456, - 188.343, - 208.288, - 229.42, - 239.671, - 267.364, - 248.213, - 181.888, - 168.402, - 132.791, - 117.956, - 55.6072, - 54.3442, - 50.4752, - 50.8564, - 43.314, - 44.1468, - 40.5975, - 42.4545, - 61.8237, - 83.8814, - 179.564, - 181.856, - 187.731, - 199.521, - 206.907, - 237.989, - 253.827, - 266.555, - 270.053, - 246.661, - 183.849, - 174.16, - 143.882, - 124.935, - 56.049, - 63.9428, - 52.3269, - 54.0955, - 48.7688, - 45.9853, - 48.4604, - 45.1396, - 62.8727, - 88.3991, - 185.27, - 192.319, - 204.369, - 218.967, - 224.971, - 240.286, - 237.957, - 240.219, - 244.074, - 226.79, - 166.368, - 142.611, - 122.706, - 114.208, - 55.3775, - 55.6276, - 53.8955, - 55.8114, - 50.6682, - 48.4403, - 44.376, - 40.9011, - 65.2421, - 91.8925, - 189.946, - 191.572, - 200.394, - 210.663, - 212.894, - 236.448, - 233.736, - 233.453, - 236.511, - 220.226, - 153.032, - 134.71, - 115.017, - 107.627, - 52.4706, - 52.9088, - 50.9459, - 44.8227, - 39.9164, - 38.9098, - 33.5754, - 32.7778, - 61.6482, - 65.0358, - 99.9091, - 111.456, - 120.101, - 130.216, - 135.28, - 140.58, - 104.011, - 88.5092, - 83.2487, - 73.5501, - 42.0348, - 43.4659, - 48.8099, - 45.4935, - 40.366, - 46.6843, - 40.1852, - 39.0875, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 33.7386, - 42.337, - 42.6413, - 46.089, - 46.1486, - 48.5683, - 48.7843, - 51.9728, - 53.842, - 56.9833, - 58.9695, - 58.6243, - 56.0087, - 53.9867, - 59.7684, - 55.4939, - 48.2649, - 47.0565, - 45.929, - 50.8348, - 50.7394, - 46.1121, - 43.418, - 44.6032, - 62.4982, - 85.6449, - 179.676, - 180.858, - 186.87, - 195.896, - 194.681, - 210.054, - 222.614, - 231.86, - 241.768, - 227.94, - 168.587, - 141.169, - 115.999, - 105.249, - 51.8878, - 52.8176, - 45.9322, - 45.8918, - 42.4742, - 44.1116, - 43.8686, - 39.1493, - 60.4223, - 81.9874, - 176.35, - 176.467, - 182.878, - 192.537, - 197.577, - 213.882, - 230.351, - 242.38, - 262.879, - 243.216, - 177.519, - 159.466, - 122.521, - 110.824, - 53.5261, - 54.2693, - 48.3948, - 48.0172, - 42.2829, - 42.1038, - 43.4927, - 42.023, - 58.3894, - 81.8399, - 176.384, - 177.36, - 183.556, - 198.519, - 205.436, - 227.474, - 234.088, - 243.318, - 265.212, - 239.713, - 173.032, - 158.125, - 126.418, - 115.155, - 53.1454, - 54.3226, - 43.603, - 44.5581, - 43.9224, - 43.6183, - 40.3855, - 46.0786, - 59.9735, - 85.7831, - 179.564, - 178.074, - 183.776, - 193.534, - 202.685, - 224.102, - 231.887, - 235.16, - 238.205, - 223.247, - 167.112, - 143.997, - 118.061, - 106.13, - 49.3416, - 48.2079, - 50.7191, - 42.6157, - 44.2914, - 44.1357, - 38.9362, - 43.5614, - 58.3104, - 80.0074, - 174.458, - 177.339, - 184.796, - 195.871, - 197.261, - 213.799, - 218.113, - 227.562, - 234.058, - 218.814, - 148.895, - 135.533, - 116.041, - 107.272, - 51.3315, - 44.657, - 42.9241, - 39.2029, - 33.5754, - 38.0633, - 33.5754, - 31.108, - 57.2114, - 63.6639, - 100.045, - 111.288, - 117.718, - 126.963, - 131.419, - 134.424, - 107.096, - 112.752, - 118.337, - 107.472, - 54.5663, - 53.7819, - 63.5704, - 51.5017, - 49.8785, - 40.9568, - 44.8437, - 39.4481, - 33.5754, - 38.5082, - 33.5754, - 31.108, - 26.6324, - 38.0767, - 41.3759, - 46.7934, - 45.9877, - 50.341, - 49.5434, - 53.1495, - 53.0766, - 60.5704, - 59.7, - 65.137, - 58.0635, - 62.0228, - 63.6229, - 52.0985, - 48.7609, - 48.5218, - 40.181, - 44.4068, - 44.392, - 49.7717, - 43.547, - 43.4167, - 53.6739, - 75.8674, - 166.477, - 166.347, - 170.96, - 179.656, - 187.298, - 207.784, - 213.997, - 226.449, - 235.684, - 222.381, - 159.521, - 136.712, - 108.779, - 98.1551, - 46.3624, - 47.4517, - 44.3835, - 42.5014, - 40.6603, - 44.0905, - 42.3432, - 43.2771, - 64.1893, - 87.4765, - 180.794, - 178.612, - 182.845, - 191.271, - 195.32, - 218.052, - 230.498, - 238.679, - 253.019, - 238.489, - 170.146, - 147.956, - 120.915, - 111.364, - 53.6997, - 53.7652, - 46.9082, - 46.1314, - 44.2691, - 44.1433, - 42.3492, - 43.268, - 62.7639, - 82.6671, - 174.061, - 173.19, - 179.425, - 189.626, - 193.48, - 212.039, - 219.85, - 232.32, - 242.581, - 229.13, - 170.995, - 158.034, - 128.315, - 116.175, - 54.3369, - 53.6069, - 49.9744, - 51.395, - 43.5976, - 47.8716, - 44.3114, - 45.1859, - 64.0479, - 86.0618, - 180.445, - 181.047, - 188.547, - 201.536, - 206.967, - 228.837, - 235.904, - 237.077, - 236.135, - 215.1, - 148.387, - 139.165, - 121.078, - 115.944, - 53.754, - 53.9184, - 48.6521, - 49.6297, - 51.881, - 47.4248, - 46.9379, - 53.6783, - 67.1196, - 95.1423, - 192.118, - 192.277, - 198.143, - 208.741, - 211.687, - 230.798, - 237.856, - 241.091, - 247.018, - 226.618, - 164.59, - 146.778, - 124.682, - 116.646, - 52.6861, - 55.5299, - 48.9042, - 44.359, - 40.135, - 37.9039, - 37.7682, - 35.9296, - 69.8911, - 77.8263, - 113.315, - 123.156, - 128.296, - 135.746, - 140.246, - 144.86, - 114.824, - 112.984, - 112.531, - 101.288, - 49.8924, - 53.5724, - 57.756, - 54.0432, - 50.5727, - 46.704, - 46.1319, - 43.6732, - 38.6065, - 39.5153, - 35.6108, - 37.4501, - 35.7427, - 44.4723, - 43.7064, - 47.9957, - 47.9166, - 51.403, - 50.5861, - 54.8154, - 54.425, - 59.4849, - 60.5647, - 62.6532, - 54.5317, - 58.414, - 58.5186, - 61.5682, - 47.9798, - 50.1982, - 47.7063, - 53.9013, - 50.4018, - 52.8327, - 44.7234, - 51.7647, - 66.3187, - 91.7848, - 187.27, - 189.457, - 198.423, - 211.032, - 213.815, - 234.617, - 239.317, - 241.594, - 247.38, - 231.822, - 172.243, - 158.356, - 132.854, - 123.499, - 56.4571, - 64.7135, - 52.5461, - 56.6472, - 51.6279, - 51.6924, - 49.1962, - 55.2363, - 66.8192, - 95.584, - 195.199, - 198.176, - 208.016, - 222.079, - 220.943, - 240.802, - 241.053, - 245.115, - 260.336, - 243.385, - 176.091, - 160.173, - 131.819, - 122.342, - 58.6815, - 61.4263, - 52.1459, - 54.1163, - 50.6227, - 53.0123, - 46.5274, - 52.1488, - 67.1726, - 97.4161, - 198.747, - 199.237, - 205.219, - 215.563, - 217.295, - 237.997, - 238.132, - 234.513, - 229.294, - 204.344, - 135.409, - 125.779, - 107.001, - 101.285, - 41.6166, - 45.4419, - 45.2936, - 38.9362, - 44.7869, - 38.9362, - 42.7183, - 43.5263, - 64.9357, - 86.6601, - 180.328, - 180.285, - 185.117, - 194.753, - 197.727, - 215.212, - 216.522, - 213.195, - 209.67, - 188.519, - 127.952, - 124.773, - 108.067, - 103.729, - 47.8879, - 49.6724, - 43.9867, - 44.9625, - 38.9362, - 44.9278, - 41.0689, - 45.6282, - 65.3795, - 86.7174, - 181.402, - 182.158, - 189.276, - 199.12, - 199.549, - 213.588, - 214.044, - 215.864, - 229.554, - 204.339, - 139.526, - 132.364, - 111.523, - 104.501, - 48.5745, - 48.5515, - 48.4871, - 37.4321, - 39.2103, - 33.5754, - 33.5754, - 38.6193, - 60.9236, - 62.5945, - 95.6751, - 104.134, - 108.559, - 115.898, - 120.478, - 125.006, - 94.7252, - 95.6205, - 97.6665, - 83.9081, - 45.7082, - 49.8033, - 48.2965, - 43.4417, - 41.6877, - 40.6511, - 37.0641, - 35.2597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.8134, - 35.6271, - 39.5227, - 39.6441, - 43.0601, - 42.4848, - 44.719, - 44.0115, - 47.7842, - 45.9234, - 49.3928, - 46.6474, - 46.8926, - 48.7065, - 50.2997, - 41.2534, - 38.029, - 38.477, - 36.6484, - 40.4648, - 43.3602, - 38.9362, - 38.9362, - 45.6027, - 55.2059, - 74.126, - 158.068, - 156.523, - 159.791, - 165.6, - 165.153, - 175.365, - 177.517, - 185.651, - 197.488, - 182.35, - 124.248, - 118.157, - 99.1892, - 93.6708, - 43.3983, - 42.4135, - 43.93, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.2829, - 76.0429, - 162.779, - 160.46, - 163.822, - 172.009, - 175.949, - 192.34, - 195.349, - 200.407, - 208.388, - 190.793, - 132.047, - 126.756, - 106.829, - 100.013, - 45.5902, - 40.8513, - 44.6885, - 38.9362, - 44.5805, - 38.9362, - 44.3847, - 41.6166, - 67.2318, - 87.1405, - 179.953, - 176.323, - 179.99, - 186.844, - 186.995, - 199.812, - 200.291, - 205.487, - 218.351, - 193.243, - 131.123, - 123.133, - 103.517, - 98.3167, - 43.6225, - 42.8175, - 44.6398, - 38.9362, - 44.3915, - 38.9362, - 38.9362, - 46.3771, - 61.653, - 80.1772, - 169.781, - 166.737, - 170.718, - 178.621, - 179.829, - 192.999, - 191.928, - 192.663, - 196.203, - 176.099, - 117.314, - 111.532, - 93.9735, - 88.894, - 41.6166, - 38.9362, - 41.4919, - 40.1882, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3468, - 66.268, - 151.055, - 152.752, - 159.51, - 168.482, - 170.228, - 182.46, - 184.325, - 190.338, - 199.692, - 183.803, - 125.989, - 122.513, - 101.243, - 95.6889, - 41.6166, - 44.1988, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.6804, - 53.5744, - 84.9006, - 93.6935, - 98.7111, - 106.331, - 109.715, - 112.617, - 84.1476, - 87.7816, - 92.6876, - 81.1941, - 45.728, - 51.9171, - 48.4406, - 40.5704, - 37.3085, - 35.3701, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.2141, - 34.569, - 39.1216, - 39.9232, - 43.3933, - 42.762, - 45.6268, - 45.7289, - 49.975, - 48.7901, - 54.0039, - 50.7864, - 50.8207, - 53.7933, - 49.2994, - 46.4678, - 46.6811, - 40.0557, - 38.8491, - 42.2614, - 40.5767, - 43.5412, - 38.9362, - 45.798, - 58.4186, - 77.6283, - 165.984, - 163.591, - 168.404, - 177.218, - 180.179, - 195.66, - 196.958, - 198.702, - 201.357, - 180.987, - 121.235, - 118.733, - 98.4158, - 93.2084, - 41.6166, - 44.045, - 38.9362, - 43.0121, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.8956, - 72.0158, - 155.043, - 153.28, - 157.458, - 164.742, - 168.062, - 183.153, - 186.552, - 192.223, - 200.009, - 179.88, - 118.921, - 117.475, - 97.2274, - 90.396, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.191, - 65.218, - 144.693, - 142.639, - 148.684, - 157.615, - 161.36, - 176.141, - 179.804, - 186.846, - 196.418, - 179.344, - 120.526, - 117.332, - 96.5963, - 89.8572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 43.1859, - 62.7987, - 144.703, - 145.544, - 153.793, - 162.912, - 166.476, - 181.202, - 184.302, - 190.361, - 198.962, - 181.775, - 123.003, - 119.871, - 98.6093, - 91.7976, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.5906, - 66.0527, - 146.916, - 147.268, - 154.108, - 162.22, - 163.907, - 175.631, - 177.439, - 184.156, - 194.116, - 177.51, - 119.076, - 115.478, - 94.8228, - 88.5889, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.4164, - 54.322, - 84.8721, - 90.6937, - 92.7119, - 98.6471, - 101.689, - 104.714, - 76.1689, - 78.5591, - 82.7785, - 71.8748, - 43.348, - 47.668, - 38.0057, - 38.8605, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 28.6054, - 35.6416, - 37.6241, - 40.3923, - 38.4898, - 40.7942, - 42.6306, - 46.4935, - 46.0358, - 50.7198, - 48.9622, - 49.2702, - 54.8801, - 54.0361, - 40.3988, - 38.5616, - 38.9802, - 33.5754, - 43.1845, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.796, - 71.5811, - 154.85, - 156.313, - 163.555, - 174.364, - 179.6, - 197.619, - 202.892, - 214.605, - 227.549, - 200.935, - 135.27, - 130.488, - 104.782, - 98.0332, - 43.5434, - 42.6625, - 42.228, - 40.5515, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.8837, - 75.1205, - 163.116, - 165.033, - 173.55, - 185.172, - 190.162, - 208.686, - 218.262, - 229.604, - 236.888, - 219.93, - 149.054, - 143.263, - 117.099, - 109.592, - 53.1358, - 47.151, - 47.5321, - 44.4344, - 42.2797, - 40.6172, - 42.072, - 43.1879, - 65.6485, - 80.8257, - 172.55, - 172.192, - 179.637, - 190.879, - 194.41, - 211.104, - 215.092, - 223.637, - 226.848, - 198.025, - 132.781, - 129.177, - 104.439, - 98.3105, - 45.4214, - 40.7682, - 44.1997, - 40.6239, - 42.259, - 38.9362, - 38.9362, - 41.6166, - 59.9208, - 75.2729, - 163.059, - 162.456, - 167.895, - 176.419, - 178.285, - 193.297, - 197.677, - 206.612, - 223.93, - 199.654, - 136.619, - 133.754, - 108.153, - 100.868, - 45.6362, - 40.867, - 44.5546, - 42.2175, - 40.5334, - 38.9362, - 38.9362, - 41.6166, - 56.6981, - 72.0095, - 160.365, - 161.404, - 169.072, - 180.346, - 184.657, - 201.266, - 204.642, - 214.671, - 226.751, - 199.908, - 134.31, - 131.547, - 106.28, - 99.0027, - 47.1671, - 42.5221, - 40.6585, - 38.5523, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.1872, - 59.8296, - 93.7304, - 103.044, - 110.072, - 118.312, - 121.851, - 124.789, - 94.9021, - 93.87, - 97.8319, - 86.1964, - 46.8296, - 54.9564, - 50.154, - 45.2214, - 44.1069, - 40.336, - 35.4415, - 39.0305, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6378, - 37.4826, - 43.7525, - 40.1368, - 47.5309, - 42.4114, - 49.2198, - 43.2365, - 49.214, - 43.5373, - 51.7506, - 45.2187, - 50.5429, - 55.5455, - 50.6289, - 46.6364, - 45.7194, - 45.7668, - 38.4806, - 39.2465, - 35.5526, - 37.5134, - 33.5754, - 39.4006, - 24.6627, - 40.6223, - 33.0678, - 40.9803, - 41.2902, - 48.2137, - 43.7281, - 51.6788, - 45.943, - 52.7711, - 46.2324, - 51.7696, - 41.3901, - 58.478, - 47.3869, - 47.3977, - 44.4679, - 39.991, - 37.286, - 49.923, - 44.828, - 44.6282, - 38.9362, - 47.0827, - 68.3536, - 81.0228, - 170.196, - 170.126, - 175.03, - 182.358, - 181.258, - 190.342, - 182.748, - 172.862, - 174.714, - 155.024, - 104.185, - 114.004, - 95.1747, - 93.3787, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.9698, - 82.7252, - 170.247, - 169.862, - 166.294, - 170.961, - 170.51, - 179.711, - 179.086, - 181.747, - 186.517, - 166.365, - 108.461, - 114.837, - 94.2927, - 90.8465, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1259, - 66.2948, - 142.839, - 137.14, - 137.998, - 144.772, - 147.424, - 158.352, - 157.649, - 161.553, - 168.648, - 153.012, - 98.1798, - 101.503, - 80.4461, - 77.1089, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.4571, - 59.287, - 135.191, - 133.021, - 136.841, - 146.066, - 150.061, - 161.373, - 162.71, - 168.874, - 177.571, - 161.949, - 106.734, - 111.191, - 89.1601, - 84.2606, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.4901, - 52.1672, - 81.718, - 87.1399, - 88.5327, - 93.8173, - 97.2944, - 100.286, - 72.9623, - 74.8143, - 78.2353, - 66.7027, - 38.5575, - 44.3914, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 26.625, - 38.3819, - 35.0121, - 37.3239, - 39.6494, - 42.3622, - 40.4722, - 41.9708, - 40.438, - 42.1871, - 40.2419, - 37.7698, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.665, - 63.0871, - 139.164, - 136.008, - 139.765, - 148.789, - 151.506, - 162.108, - 162.81, - 167.866, - 175.352, - 159.845, - 107.686, - 110.156, - 88.84, - 84.7485, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.57, - 62.1903, - 139.665, - 137.439, - 146.16, - 155.907, - 157.758, - 168.679, - 168.941, - 173.155, - 179.648, - 161.908, - 107.204, - 108.056, - 87.2314, - 85.0369, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5635, - 65.1211, - 144.1, - 143.306, - 152.966, - 163.04, - 165.568, - 177.386, - 178.086, - 180.615, - 187.087, - 168.082, - 111.046, - 113.324, - 91.73, - 88.5886, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.5353, - 70.5196, - 147.227, - 142.922, - 146.641, - 152.098, - 150.493, - 157.365, - 155.751, - 156.37, - 159.539, - 144.029, - 94.0475, - 99.2877, - 80.8626, - 78.383, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.9237, - 61.218, - 136.811, - 134.204, - 137.861, - 145.77, - 148.382, - 158.182, - 158.236, - 162.519, - 169.28, - 154.223, - 103.192, - 108.057, - 89.074, - 86.7985, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.0167, - 48.8811, - 79.475, - 87.0995, - 91.2371, - 97.4566, - 99.244, - 99.8961, - 70.9309, - 71.3896, - 73.1924, - 62.9195, - 41.6023, - 41.5555, - 39.0719, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 25.9684, - 38.6545, - 36.9889, - 42.3147, - 36.8098, - 43.4019, - 38.8707, - 44.1575, - 38.8925, - 43.8659, - 38.457, - 38.1652, - 36.8256, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.8754, - 55.6984, - 128.385, - 124.736, - 128.6, - 136.345, - 139.696, - 149.996, - 150.244, - 154.838, - 162.169, - 144.885, - 94.5631, - 95.4062, - 74.8387, - 70.3809, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.3533, - 59.3704, - 131.8, - 126.771, - 129.179, - 136.653, - 140.074, - 150.223, - 149.306, - 151.38, - 155.952, - 137.874, - 89.496, - 91.2082, - 71.6088, - 68.2371, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.5145, - 59.8162, - 132.202, - 128.148, - 131.643, - 138.954, - 141.423, - 150.454, - 149.405, - 152.197, - 157.582, - 141.112, - 93.2033, - 95.7944, - 75.591, - 70.908, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1675, - 57.1151, - 127.558, - 126.84, - 135.297, - 146.677, - 150.604, - 160.103, - 159.802, - 165.264, - 173.031, - 156.734, - 104.788, - 103.796, - 81.2013, - 74.7141, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.5157, - 57.0065, - 130.553, - 130.625, - 140.224, - 152.92, - 156.757, - 169.636, - 171.111, - 176.9, - 184.412, - 165.89, - 111.399, - 110.72, - 88.5851, - 83.127, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.8516, - 46.551, - 77.7673, - 88.5776, - 95.4283, - 103.711, - 107.776, - 110.921, - 83.5935, - 85.1069, - 87.178, - 73.1912, - 45.0885, - 42.4597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.091, - 37.3983, - 38.5478, - 42.3876, - 42.2935, - 47.2746, - 47.0837, - 51.2853, - 48.4349, - 52.3097, - 48.0048, - 55.4498, - 48.6646, - 42.501, - 41.1212, - 33.5754, - 38.2862, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.0797, - 69.4193, - 154.601, - 158.198, - 166.803, - 177.072, - 179.255, - 191.397, - 194.168, - 199.488, - 205.296, - 183.341, - 126.491, - 120.901, - 95.9272, - 89.8583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.0303, - 69.7739, - 156.544, - 160.504, - 170.77, - 183.428, - 186.023, - 198.74, - 200.959, - 205.846, - 211.247, - 188.342, - 130.249, - 123.783, - 98.4286, - 92.2971, - 41.6166, - 41.9734, - 40.4334, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.6208, - 72.7506, - 158.489, - 161.143, - 169.413, - 180.156, - 183.308, - 198.43, - 202.572, - 207.821, - 212.641, - 188.503, - 129.776, - 123.196, - 99.019, - 94.6956, - 41.6166, - 44.3273, - 38.9362, - 43.928, - 38.9362, - 38.9362, - 38.9362, - 45.8383, - 64.596, - 74.8103, - 164.623, - 166.015, - 174.575, - 185.642, - 187.617, - 200.787, - 203.993, - 208.983, - 213.441, - 189.872, - 131.372, - 125.1, - 100.244, - 94.901, - 41.6166, - 44.2148, - 40.6097, - 42.2053, - 38.9362, - 38.9362, - 43.3734, - 41.6166, - 65.2067, - 73.6573, - 160.988, - 164.809, - 174.434, - 185.759, - 187.449, - 200.404, - 203.903, - 209.014, - 213.488, - 189.745, - 131.276, - 125.102, - 101.175, - 97.414, - 45.4534, - 42.5778, - 42.3984, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 62.744, - 55.0407, - 86.9558, - 97.5896, - 105.833, - 115.434, - 120.585, - 125.116, - 95.9509, - 96.7417, - 97.4233, - 81.6346, - 48.8256, - 47.5436, - 40.3227, - 39.64, - 37.4331, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 20.1719, - 25.6276, - 31.9693, - 31.5822, - 38.7868, - 37.4961, - 44.2482, - 39.8036, - 45.5593, - 40.3097, - 45.3344, - 43.6775, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.1518, - 56.9907, - 131.012, - 131.421, - 140.852, - 152.365, - 155.988, - 167.422, - 168.094, - 172.533, - 178.454, - 159.371, - 111.394, - 107.065, - 85.1635, - 80.5454, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.7241, - 60.577, - 135.704, - 135.434, - 144.362, - 154.924, - 158.367, - 170.544, - 172.569, - 177.51, - 183.482, - 165.165, - 117.313, - 113.553, - 91.7146, - 87.583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4419, - 64.3307, - 141.258, - 139.92, - 148.044, - 159.333, - 162.077, - 176.676, - 179.003, - 181.664, - 185.123, - 163.77, - 116.48, - 113.412, - 90.9948, - 85.6355, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.746, - 63.9027, - 140.09, - 140.719, - 150.38, - 160.583, - 163.43, - 175.717, - 176.27, - 177.369, - 178.099, - 158.408, - 115.173, - 112.87, - 91.4517, - 87.768, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.237, - 66.1249, - 143.366, - 141.158, - 150.097, - 159.625, - 160.392, - 168.968, - 166.219, - 166.629, - 169.473, - 151.276, - 108.295, - 106.343, - 87.0961, - 85.4366, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 49.864, - 43.4675, - 71.7492, - 78.8618, - 82.3028, - 88.4725, - 90.9685, - 90.932, - 62.229, - 61.0073, - 59.4274, - 49.1988, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 19.7076, - 31.681, - 32.2047, - 38.485, - 33.0662, - 42.1867, - 38.2387, - 43.4806, - 38.7768, - 36.7903, - 38.5198, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 25.3508, - 30.7673, - 32.7306, - 37.465, - 39.8992, - 39.5392, - 41.9212, - 40.9873, - 43.1902, - 41.2366, - 44.3939, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.618, - 59.0242, - 131.871, - 130.507, - 137.836, - 148.637, - 152.657, - 164.27, - 164.987, - 168.923, - 173.96, - 154.434, - 110.14, - 103.105, - 80.8263, - 75.5258, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.6475, - 57.9848, - 130.439, - 130.532, - 139.786, - 151.225, - 155.046, - 166.251, - 165.839, - 168.663, - 172.689, - 153.03, - 109.24, - 102.682, - 80.4704, - 74.822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.7852, - 57.5463, - 129.876, - 129.889, - 139.373, - 150.596, - 152.793, - 162.025, - 162.733, - 167.264, - 172.652, - 153.462, - 109.037, - 101.341, - 78.8495, - 72.9751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4737, - 57.6887, - 129.707, - 129.516, - 139.048, - 151.044, - 155.496, - 167.615, - 168.156, - 172.089, - 177.028, - 155.951, - 109.521, - 100.77, - 78.4132, - 73.6942, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.7376, - 42.6857, - 70.6339, - 78.9823, - 85.3168, - 94.3621, - 98.9358, - 102.21, - 75.0765, - 74.8579, - 75.4814, - 61.6135, - 35.9619, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 22.072, - 30.1981, - 32.2823, - 39.2714, - 42.8957, - 43.5271, - 46.8531, - 44.6373, - 46.7388, - 43.4056, - 39.6717, - 37.0879, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4657, - 58.0903, - 130.288, - 131.094, - 141.773, - 153.251, - 157.664, - 169.745, - 170.106, - 172.848, - 176.149, - 155.205, - 113.493, - 105.281, - 83.841, - 78.8944, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.6625, - 59.6821, - 133.043, - 133.997, - 143.227, - 154.334, - 157.757, - 169.02, - 169.426, - 172.232, - 175.548, - 154.298, - 112.567, - 103.536, - 82.148, - 77.8491, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5019, - 58.6716, - 131.694, - 131.901, - 140.553, - 152.198, - 156.305, - 167.252, - 164.674, - 165.536, - 169.221, - 151.37, - 113.424, - 106.892, - 86.4543, - 82.5826, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1658, - 59.8721, - 133.158, - 132.57, - 140.109, - 144.527, - 142.785, - 150.76, - 148.048, - 144.695, - 145.241, - 129.063, - 98.8065, - 92.9612, - 74.7919, - 72.4367, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.2639, - 57.5446, - 126.422, - 124.585, - 129.688, - 137.296, - 139.939, - 147.115, - 145.831, - 148.947, - 154.322, - 137.01, - 103.331, - 98.2235, - 80.1669, - 77.3086, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.973, - 40.9816, - 67.1259, - 73.9024, - 77.0225, - 82.8645, - 84.5272, - 84.4294, - 56.5795, - 55.1059, - 55.1031, - 45.2891, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 22.1999, - 34.5369, - 35.7034, - 38.084, - 37.2527, - 38.9203, - 36.7207, - 32.2255, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.261, - 59.7283, - 128.766, - 125.528, - 129.904, - 139.063, - 145.432, - 153.708, - 152.691, - 156.546, - 158.002, - 139.534, - 102.772, - 94.8214, - 74.8327, - 71.2853, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.941, - 57.8949, - 127.911, - 125.33, - 132.007, - 142.564, - 148.849, - 159.8, - 159.5, - 162.58, - 166.638, - 148.998, - 106.662, - 97.9036, - 76.9297, - 72.6832, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4554, - 58.0579, - 128.346, - 126.164, - 132.655, - 143.22, - 148.846, - 159.463, - 159.633, - 163.557, - 168.312, - 150.523, - 107.713, - 98.2841, - 76.9482, - 72.654, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.3871, - 56.1121, - 126.516, - 124.749, - 131.16, - 141.454, - 147.396, - 158.539, - 158.873, - 163.271, - 168.513, - 150.666, - 108.289, - 99.2357, - 79.244, - 76.2735, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.0569, - 58.4865, - 128.073, - 122.333, - 124.24, - 132.6, - 135.863, - 140.863, - 138.111, - 140.216, - 144.094, - 130.291, - 98.0194, - 92.6835, - 73.5902, - 70.0135, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 55.4484, - 47.5295, - 68.7474, - 67.4079, - 66.2252, - 70.5351, - 72.19, - 71.8777, - 44.8802, - 44.9103, - 46.7946, - 43.7703, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.5183, - 29.1952, - 32.8783, - 34.2161, - 29.8992, - 19.8317, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4217, - 73.522, - 127.884, - 117.287, - 117.576, - 122.589, - 125.968, - 132.455, - 131.446, - 132.993, - 137.568, - 124.207, - 91.2675, - 84.896, - 69.4161, - 68.7621, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.0101, - 74.7318, - 127.005, - 116.056, - 116.843, - 123.564, - 128.612, - 136.509, - 136.04, - 137.897, - 143.255, - 127.995, - 92.827, - 84.9527, - 68.3781, - 68.2545, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.7985, - 73.2803, - 125.888, - 116.456, - 119.148, - 126.412, - 131.549, - 139.786, - 138.742, - 140.214, - 144.989, - 129.032, - 93.9899, - 86.9202, - 69.1429, - 67.8901, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1745, - 72.2689, - 125.993, - 119.515, - 122.365, - 130.581, - 136.978, - 147.005, - 146.223, - 147.983, - 152.423, - 136.822, - 97.0388, - 89.0893, - 70.572, - 68.6685, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.2383, - 71.4699, - 125.446, - 118.764, - 122.007, - 130.454, - 136.884, - 146.98, - 147.343, - 150.733, - 156.2, - 141.69, - 100.288, - 90.6473, - 71.6186, - 69.2678, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 59.944, - 52.4924, - 67.7256, - 73.4819, - 78.8701, - 86.7889, - 88.8722, - 89.3524, - 60.3137, - 57.9955, - 58.1322, - 54.376, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 22.4707, - 30.1791, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.3097, - 76.8391, - 127.519, - 117.105, - 115.904, - 119.458, - 120.974, - 123.411, - 119.801, - 120.157, - 127.008, - 124.462, - 88.589, - 86.3852, - 74.6652, - 77.0008, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.1916, - 72.6312, - 127.732, - 119.847, - 120.59, - 125.347, - 127.831, - 131.89, - 128.78, - 129.346, - 133.731, - 128.183, - 87.2977, - 85.2861, - 71.8305, - 72.3397, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8689, - 69.3066, - 127.32, - 119.222, - 120.973, - 126.755, - 130.442, - 134.389, - 129.566, - 128.909, - 132.177, - 125.93, - 84.5782, - 82.3879, - 70.5891, - 73.0204, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0021, - 76.3913, - 129.366, - 118.233, - 116.262, - 120.445, - 121.943, - 124.101, - 119.786, - 119.559, - 125.478, - 121.735, - 85.9076, - 85.7267, - 76.2722, - 80.4814, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.0597, - 82.4842, - 130.491, - 118.391, - 116.901, - 121.006, - 123.657, - 126.46, - 122.166, - 121.846, - 127.62, - 125.255, - 88.2466, - 86.7746, - 76.9748, - 79.3884, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 25.5477, - 31.0823, - 32.2828, - 32.9553, - 22.6726, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.5535, - 95.2738, - 133.538, - 120.15, - 119.917, - 124.632, - 128.682, - 132.156, - 127.853, - 128.219, - 136.58, - 130.147, - 92.3651, - 88.6475, - 76.4276, - 79.7062, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 83.5307, - 79.5097, - 129.444, - 118.909, - 119.739, - 126.674, - 132.345, - 138.108, - 134.918, - 135.191, - 140.948, - 131.72, - 89.7184, - 86.8222, - 72.4053, - 72.2565, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.4481, - 72.4592, - 126.736, - 119.181, - 121.675, - 130.656, - 138.5, - 145.204, - 141.087, - 140.645, - 143.673, - 132.354, - 88.1632, - 83.9694, - 69.513, - 70.7331, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.5094, - 66.6109, - 126.391, - 120.227, - 123.41, - 131.874, - 138.316, - 144.478, - 142.469, - 144.328, - 148.87, - 135.078, - 89.1987, - 83.6869, - 68.8725, - 68.9389, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.0378, - 63.4393, - 127.618, - 121.761, - 125.02, - 134.294, - 141.91, - 148.676, - 144.747, - 145.058, - 151.587, - 142.21, - 98.739, - 94.2967, - 78.1007, - 76.5967, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 47.3187, - 45.2722, - 73.0921, - 80.1723, - 84.3367, - 90.0762, - 91.7398, - 88.3912, - 54.836, - 50.1135, - 55.9587, - 57.184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 19.4289, - 21.0097, - 24.4215, - 22.5192, - 19.7415, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.738, - 102.669, - 137.856, - 123.972, - 119.339, - 119.665, - 119.876, - 117.818, - 113.257, - 113.242, - 124.573, - 125.817, - 96.8943, - 99.7664, - 91.3891, - 93.7498, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 110.961, - 98.6396, - 136.274, - 122.907, - 119.332, - 120.349, - 122.97, - 122.675, - 118.809, - 118.838, - 127.342, - 122.209, - 89.8272, - 90.54, - 81.0463, - 82.6037, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 94.1034, - 94.1191, - 135.581, - 121.537, - 121.171, - 125.717, - 129.551, - 132.837, - 128.915, - 129.117, - 138.826, - 134.495, - 97.3665, - 93.6614, - 78.8909, - 77.8295, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 19.8353, - 23.0273, - 27.8795, - 26.8059, - 21.6979, - 21.4008, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.8133, - 84.2545, - 130.528, - 119.266, - 119.426, - 125.485, - 130.36, - 135.432, - 133.502, - 135.116, - 139.853, - 129.065, - 87.4191, - 84.5519, - 72.8685, - 75.5988, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 83.19, - 61.5623, - 73.6895, - 74.9336, - 77.3297, - 84.5303, - 87.6446, - 86.1237, - 58.5974, - 56.6508, - 61.8021, - 61.2189, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 24.7126, - 33.4857, - 36.9278, - 36.2823, - 37.83, - 27.1587, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.6088, - 71.1527, - 130.146, - 123.84, - 124.117, - 128.541, - 131.09, - 134.404, - 130.221, - 130.22, - 138.862, - 131.599, - 91.947, - 88.8011, - 74.8077, - 75.0668, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 70.2025, - 73.4774, - 126.911, - 119.793, - 122.315, - 128.879, - 134.0, - 140.083, - 135.93, - 135.048, - 139.393, - 129.255, - 86.6583, - 83.4962, - 70.0841, - 72.3256, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.6817, - 73.7693, - 128.025, - 117.855, - 118.755, - 124.472, - 127.666, - 132.636, - 129.515, - 129.878, - 137.304, - 129.34, - 88.1288, - 85.5871, - 71.2613, - 71.0198, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8549, - 75.6644, - 127.325, - 119.991, - 121.515, - 128.255, - 132.946, - 137.602, - 132.644, - 131.563, - 138.128, - 129.321, - 87.5697, - 84.1435, - 70.062, - 72.2373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.044, - 94.4318, - 135.886, - 121.886, - 118.155, - 119.194, - 118.73, - 116.641, - 112.647, - 112.623, - 123.708, - 123.555, - 93.4249, - 96.4195, - 88.614, - 91.4584, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.462, - 96.0492, - 93.8856, - 88.2251, - 84.7254, - 84.5289, - 80.8154, - 72.9443, - 47.6319, - 47.4507, - 61.843, - 76.1884, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.7678, - 39.7392, - 34.0452, - 22.7394, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5481, - 42.708, - 43.4169, - 45.7942, - 45.0442, - 47.5569, - 48.6458, - 174.849, - 153.449, - 177.673, - 147.391, - 138.446, - 135.236, - 134.479, - 124.883, - 117.458, - 116.642, - 128.417, - 131.807, - 103.501, - 105.083, - 100.197, - 104.543, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.716, - 130.147, - 163.64, - 144.764, - 136.399, - 132.799, - 135.096, - 126.625, - 119.956, - 122.185, - 135.585, - 136.796, - 107.765, - 107.344, - 98.3049, - 100.079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.637, - 106.366, - 139.745, - 124.877, - 119.956, - 120.732, - 121.123, - 117.948, - 113.594, - 113.361, - 125.578, - 126.851, - 96.1341, - 98.1574, - 88.7883, - 91.4962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.558, - 103.439, - 139.968, - 125.361, - 123.454, - 125.02, - 126.631, - 123.953, - 119.157, - 114.755, - 127.02, - 129.035, - 100.066, - 101.623, - 92.802, - 94.2563, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 107.704, - 93.0854, - 132.757, - 122.881, - 122.966, - 126.735, - 128.363, - 128.619, - 123.695, - 123.212, - 132.763, - 130.237, - 93.9888, - 89.7531, - 79.1855, - 80.1595, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 101.81, - 76.0559, - 81.1263, - 78.6627, - 76.5332, - 80.1479, - 78.5346, - 73.1357, - 50.0273, - 48.8097, - 59.8428, - 68.167, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.204, - 106.47, - 139.239, - 123.677, - 120.589, - 125.521, - 128.534, - 128.732, - 125.019, - 124.147, - 131.156, - 123.959, - 90.0218, - 91.3038, - 82.2888, - 84.6191, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.387, - 90.1349, - 131.867, - 119.908, - 120.33, - 125.113, - 127.775, - 130.39, - 126.099, - 124.502, - 131.187, - 122.747, - 86.2379, - 87.0879, - 77.8866, - 80.6119, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.5569, - 86.5121, - 130.962, - 118.795, - 118.531, - 125.894, - 129.412, - 131.609, - 128.061, - 126.2, - 130.611, - 122.206, - 85.1413, - 85.4294, - 75.5376, - 78.2451, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.6874, - 81.2401, - 129.486, - 118.235, - 118.087, - 122.448, - 125.24, - 130.742, - 129.072, - 127.44, - 131.252, - 122.608, - 85.2104, - 85.6105, - 75.2164, - 78.2822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.5747, - 83.2297, - 130.295, - 118.614, - 117.865, - 124.541, - 127.485, - 130.294, - 126.595, - 124.66, - 128.393, - 119.99, - 86.4495, - 87.4627, - 77.4643, - 80.062, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 105.852, - 77.818, - 82.059, - 78.4418, - 77.7328, - 80.9114, - 79.8116, - 74.8111, - 47.9128, - 49.0545, - 58.6146, - 65.9774, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.4555, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 148.924, - 123.952, - 150.773, - 131.07, - 124.398, - 126.319, - 131.069, - 129.249, - 125.114, - 124.165, - 130.74, - 125.235, - 94.2605, - 97.233, - 89.9435, - 93.3676, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 123.639, - 104.539, - 138.192, - 122.768, - 118.668, - 122.101, - 125.639, - 125.343, - 122.298, - 121.629, - 126.607, - 123.003, - 92.3402, - 93.3157, - 85.1471, - 88.1028, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.703, - 96.0474, - 134.96, - 121.46, - 117.841, - 122.672, - 125.775, - 127.79, - 125.056, - 123.622, - 128.198, - 121.315, - 87.6117, - 87.8139, - 78.0084, - 80.9289, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.3591, - 83.8439, - 129.812, - 120.692, - 122.24, - 126.446, - 128.608, - 132.154, - 128.418, - 124.915, - 127.402, - 119.953, - 86.341, - 87.2747, - 77.3827, - 80.8281, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.5284, - 84.3464, - 130.461, - 118.571, - 115.153, - 116.781, - 117.17, - 116.399, - 112.798, - 112.438, - 123.218, - 122.469, - 90.8552, - 93.1162, - 85.1782, - 87.3454, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 124.867, - 95.2851, - 93.4602, - 87.425, - 83.6816, - 84.3425, - 80.4443, - 73.4037, - 48.2827, - 47.9516, - 62.6055, - 77.8954, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.3025, - 37.793, - 22.5549, - 20.2539, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3076, - 39.4666, - 39.2393, - 41.3982, - 40.3823, - 43.4003, - 39.4045, - 26.4328, - 22.573, - 22.1928, - 19.3614, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3223, - 41.8002, - 44.4458, - 43.941, - 46.0452, - 45.2631, - 50.5404, - 184.05, - 157.579, - 182.405, - 158.086, - 147.42, - 146.227, - 151.141, - 141.751, - 136.294, - 134.65, - 145.47, - 147.7, - 120.624, - 119.31, - 112.66, - 115.181, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 139.677, - 124.682, - 152.342, - 129.812, - 123.939, - 126.263, - 128.578, - 124.587, - 119.881, - 118.743, - 128.723, - 128.17, - 96.8937, - 96.6911, - 88.0193, - 89.5504, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.2845, - 96.3731, - 143.728, - 133.032, - 131.387, - 128.042, - 125.128, - 123.904, - 120.515, - 121.101, - 132.352, - 132.045, - 101.204, - 100.116, - 90.1973, - 90.926, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.381, - 92.1395, - 134.191, - 127.398, - 124.534, - 121.291, - 121.403, - 119.586, - 113.14, - 113.142, - 124.211, - 124.255, - 93.5647, - 95.4133, - 86.2237, - 87.6581, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 115.837, - 86.2428, - 87.0184, - 83.278, - 81.0576, - 82.4189, - 78.4133, - 71.2169, - 51.699, - 52.4791, - 64.6016, - 75.8291, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754 - ], - "load_user_forecast_data_peak_shaving": [ - 0.0 - ], - "load_user_forecast_data_psd": [ - 0.0 - ], - "om_batt_capacity_cost": [ - 0.0 - ], - "om_batt_fixed_cost": [ - 0.0 - ], - "om_batt_nameplate": 203.04, - "om_batt_replacement_cost": [ - 0.0 - ], - "om_batt_variable_cost": [ - 0.0 - ], - "om_capacity_escal": 0.0, - "om_fixed_escal": 0.0, - "om_production_escal": 0.0, - "om_replacement_cost_escal": 0.0, - "peak_shaving_batt_dispatch_choice": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.0 - ], - "pv.storage.p1.charge": 1.0, - "pv.storage.p1.discharge": 0.0, - "pv.storage.p1.dischargetogrid": 0.0, - "pv.storage.p1.gridcharge": 0.0, - "pv.storage.p2.charge": 1.0, - "pv.storage.p2.discharge": 0.0, - "pv.storage.p2.dischargetogrid": 0.0, - "pv.storage.p2.gridcharge": 1.0, - "pv.storage.p3.charge": 1.0, - "pv.storage.p3.discharge": 1.0, - "pv.storage.p3.dischargetogrid": 0.0, - "pv.storage.p3.gridcharge": 0.0, - "pv.storage.p4.charge": 0.0, - "pv.storage.p4.discharge": 0.0, - "pv.storage.p4.dischargetogrid": 0.0, - "pv.storage.p4.gridcharge": 0.0, - "pv.storage.p5.charge": 0.0, - "pv.storage.p5.discharge": 0.0, - "pv.storage.p5.dischargetogrid": 0.0, - "pv.storage.p5.gridcharge": 0.0, - "pv.storage.p6.charge": 0.0, - "pv.storage.p6.discharge": 0.0, - "pv.storage.p6.dischargetogrid": 0.0, - "pv.storage.p6.gridcharge": 0.0, - "sales_tax_rate": 0.0, - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "system_capacity": 20.304, - "total_direct_cost": 20304.0, - "total_indirect_cost": 0.0, - "total_installed_cost": 20304.0, - "ui_batt_life_model": 0.0, - "ui_copy_batt_discharge_percent_1": 25.0, - "ui_copy_batt_discharge_percent_2": 25.0, - "ui_copy_batt_discharge_percent_3": 25.0, - "ui_copy_batt_discharge_percent_4": 25.0, - "ui_copy_batt_discharge_percent_5": 25.0, - "ui_copy_batt_discharge_percent_6": 25.0 - }, - "Hybrid": { - "analysis_period": 30.0, - "analysis_period_warning": "", - "annual_crit": 0.0, - "annual_peak": 274.231, - "batt_minimum_outage_SOC": 10.0, - "batt_replacement_option": 0.0, - "batt_salvage_percentage": 0.0, - "batt_salvage_value": 0.0, - "battery_system_capacity": 20.304, - "battery_total_installed_cost": 20304.0, - "cbi_fed_amount": 0.0, - "cbi_fed_deprbas_fed": 0.0, - "cbi_fed_deprbas_sta": 0.0, - "cbi_fed_maxvalue": 0.0, - "cbi_fed_tax_fed": 1.0, - "cbi_fed_tax_sta": 1.0, - "cbi_oth_amount": 0.0, - "cbi_oth_deprbas_fed": 0.0, - "cbi_oth_deprbas_sta": 0.0, - "cbi_oth_maxvalue": 0.0, - "cbi_oth_tax_fed": 1.0, - "cbi_oth_tax_sta": 1.0, - "cbi_sta_amount": 0.0, - "cbi_sta_deprbas_fed": 0.0, - "cbi_sta_deprbas_sta": 0.0, - "cbi_sta_maxvalue": 0.0, - "cbi_sta_tax_fed": 1.0, - "cbi_sta_tax_sta": 1.0, - "cbi_uti_amount": 0.0, - "cbi_uti_deprbas_fed": 0.0, - "cbi_uti_deprbas_sta": 0.0, - "cbi_uti_maxvalue": 0.0, - "cbi_uti_tax_fed": 1.0, - "cbi_uti_tax_sta": 1.0, - "chk_update_peaks": 0.0, - "const_per_interest1": 0.0, - "const_per_interest2": 0.0, - "const_per_interest3": 0.0, - "const_per_interest4": 0.0, - "const_per_interest5": 0.0, - "const_per_interest_rate1": 0.0, - "const_per_interest_rate2": 0.0, - "const_per_interest_rate3": 0.0, - "const_per_interest_rate4": 0.0, - "const_per_interest_rate5": 0.0, - "const_per_interest_total": 0.0, - "const_per_months1": 0.0, - "const_per_months2": 0.0, - "const_per_months3": 0.0, - "const_per_months4": 0.0, - "const_per_months5": 0.0, - "const_per_name1": "Loan 1", - "const_per_name2": "Loan 2", - "const_per_name3": "Loan 3", - "const_per_name4": "Loan 4", - "const_per_name5": "Loan 5", - "const_per_percent1": 0.0, - "const_per_percent2": 0.0, - "const_per_percent3": 0.0, - "const_per_percent4": 0.0, - "const_per_percent5": 0.0, - "const_per_percent_total": 0.0, - "const_per_principal1": 0.0, - "const_per_principal2": 0.0, - "const_per_principal3": 0.0, - "const_per_principal4": 0.0, - "const_per_principal5": 0.0, - "const_per_principal_total": 0.0, - "const_per_total1": 0.0, - "const_per_total2": 0.0, - "const_per_total3": 0.0, - "const_per_total4": 0.0, - "const_per_total5": 0.0, - "const_per_upfront_rate1": 0.0, - "const_per_upfront_rate2": 0.0, - "const_per_upfront_rate3": 0.0, - "const_per_upfront_rate4": 0.0, - "const_per_upfront_rate5": 0.0, - "construction_financing_cost": 0.0, - "cost_debt_closing": 0.0, - "cost_debt_fee": 0.0, - "cost_other_financing": 0.0, - "crit_1": 0.0, - "crit_10": 0.0, - "crit_11": 0.0, - "crit_12": 0.0, - "crit_2": 0.0, - "crit_3": 0.0, - "crit_4": 0.0, - "crit_5": 0.0, - "crit_6": 0.0, - "crit_7": 0.0, - "crit_8": 0.0, - "crit_9": 0.0, - "crit_load": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "crit_load_escalation": [ - 0.0 - ], - "crit_load_pct": 0.0, - "crit_load_type": 0.0, - "crit_load_user_data": [ - 0.0 - ], - "debt_message": "", - "debt_option": 1.0, - "debt_percent": 50.0, - "depr_alloc_custom_percent": 0.0, - "depr_alloc_macrs_15_percent": 0.0, - "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_none": 0.0, - "depr_alloc_sl_15_percent": 0.0, - "depr_alloc_sl_20_percent": 0.0, - "depr_alloc_sl_39_percent": 0.0, - "depr_alloc_sl_5_percent": 0.0, - "depr_bonus_fed": 0.0, - "depr_bonus_fed_custom": 0.0, - "depr_bonus_fed_macrs_15": 0.0, - "depr_bonus_fed_macrs_5": 1.0, - "depr_bonus_fed_sl_15": 0.0, - "depr_bonus_fed_sl_20": 0.0, - "depr_bonus_fed_sl_39": 0.0, - "depr_bonus_fed_sl_5": 0.0, - "depr_bonus_sta": 0.0, - "depr_bonus_sta_custom": 0.0, - "depr_bonus_sta_macrs_15": 0.0, - "depr_bonus_sta_macrs_5": 0.0, - "depr_bonus_sta_sl_15": 0.0, - "depr_bonus_sta_sl_20": 0.0, - "depr_bonus_sta_sl_39": 0.0, - "depr_bonus_sta_sl_5": 0.0, - "depr_custom_schedule": [ - 0.0 - ], - "depr_fedbas_method": 1.0, - "depr_itc_fed_custom": 0.0, - "depr_itc_fed_macrs_15": 0.0, - "depr_itc_fed_macrs_5": 0.0, - "depr_itc_fed_sl_15": 0.0, - "depr_itc_fed_sl_20": 0.0, - "depr_itc_fed_sl_39": 0.0, - "depr_itc_fed_sl_5": 0.0, - "depr_itc_sta_custom": 0.0, - "depr_itc_sta_macrs_15": 0.0, - "depr_itc_sta_macrs_5": 0.0, - "depr_itc_sta_sl_15": 0.0, - "depr_itc_sta_sl_20": 0.0, - "depr_itc_sta_sl_39": 0.0, - "depr_itc_sta_sl_5": 0.0, - "depr_stabas_method": 1.0, - "dispatch_data_filename": "", - "dispatch_factors_ts": [ - 0.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1 - ], - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.1, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "dscr": 0.0, - "dscr_limit_debt_fraction": 0.0, - "dscr_maximum_debt_fraction": 100.0, - "dscr_reserve_months": 0.0, - "enable_interconnection_limit": 0.0, - "energy_1": 57339.5, - "energy_10": 57692.5, - "energy_11": 51845.3, - "energy_12": 54338.5, - "energy_2": 48557.3, - "energy_3": 55750.1, - "energy_4": 53014.9, - "energy_5": 60460.7, - "energy_6": 70152.3, - "energy_7": 77708.5, - "energy_8": 77555.1, - "energy_9": 61793.7, - "equip1_reserve_cost": 0.0, - "equip1_reserve_freq": 0.0, - "equip2_reserve_cost": 0.0, - "equip2_reserve_freq": 0.0, - "equip3_reserve_cost": 0.0, - "equip3_reserve_freq": 0.0, - "equip_reserve_depr_fed": 0.0, - "equip_reserve_depr_sta": 0.0, - "escal_belpe": [ - 0.0 - ], - "escal_input_hourly": [ - 0.0 - ], - "escal_other": [ - 0.0 - ], - "federal_tax_rate": [ - 25.0 - ], - "flip_target_percent": 10.0, - "flip_target_year": 10.0, - "grid_curtailment": [ - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0 - ], - "grid_interconnection_limit_kwac": 20000.0, - "grid_outage": [ - 0.0 - ], - "host_nominal_discount_rate": 8.1375, - "host_real_discount_rate": 5.5, - "hybrid_capital_cost": 0.0, - "hybrid_om_capacity_cost": 0.0, - "hybrid_om_fixed_cost": 0.0, - "ibi_fed_amount": 0.0, - "ibi_fed_amount_deprbas_fed": 0.0, - "ibi_fed_amount_deprbas_sta": 0.0, - "ibi_fed_amount_tax_fed": 1.0, - "ibi_fed_amount_tax_sta": 1.0, - "ibi_fed_percent": 0.0, - "ibi_fed_percent_deprbas_fed": 0.0, - "ibi_fed_percent_deprbas_sta": 0.0, - "ibi_fed_percent_maxvalue": 0.0, - "ibi_fed_percent_tax_fed": 1.0, - "ibi_fed_percent_tax_sta": 1.0, - "ibi_oth_amount": 0.0, - "ibi_oth_amount_deprbas_fed": 0.0, - "ibi_oth_amount_deprbas_sta": 0.0, - "ibi_oth_amount_tax_fed": 1.0, - "ibi_oth_amount_tax_sta": 1.0, - "ibi_oth_percent": 0.0, - "ibi_oth_percent_deprbas_fed": 0.0, - "ibi_oth_percent_deprbas_sta": 0.0, - "ibi_oth_percent_maxvalue": 0.0, - "ibi_oth_percent_tax_fed": 1.0, - "ibi_oth_percent_tax_sta": 1.0, - "ibi_sta_amount": 0.0, - "ibi_sta_amount_deprbas_fed": 0.0, - "ibi_sta_amount_deprbas_sta": 0.0, - "ibi_sta_amount_tax_fed": 1.0, - "ibi_sta_amount_tax_sta": 1.0, - "ibi_sta_percent": 0.0, - "ibi_sta_percent_deprbas_fed": 0.0, - "ibi_sta_percent_deprbas_sta": 0.0, - "ibi_sta_percent_maxvalue": 0.0, - "ibi_sta_percent_tax_fed": 1.0, - "ibi_sta_percent_tax_sta": 1.0, - "ibi_uti_amount": 0.0, - "ibi_uti_amount_deprbas_fed": 0.0, - "ibi_uti_amount_deprbas_sta": 0.0, - "ibi_uti_amount_tax_fed": 1.0, - "ibi_uti_amount_tax_sta": 1.0, - "ibi_uti_percent": 0.0, - "ibi_uti_percent_deprbas_fed": 0.0, - "ibi_uti_percent_deprbas_sta": 0.0, - "ibi_uti_percent_maxvalue": 0.0, - "ibi_uti_percent_tax_fed": 1.0, - "ibi_uti_percent_tax_sta": 1.0, - "inflation_rate": 2.5, - "insurance_rate": 1.0, - "is_btm": 0.0, - "itc_fed_amount": [ - 0.0 - ], - "itc_fed_amount_deprbas_fed": 1.0, - "itc_fed_amount_deprbas_sta": 1.0, - "itc_fed_percent": [ - 30.0 - ], - "itc_fed_percent_deprbas_fed": 1.0, - "itc_fed_percent_deprbas_sta": 1.0, - "itc_fed_percent_maxvalue": [ - 1.0 - ], - "itc_sta_amount": [ - 0.0 - ], - "itc_sta_amount_deprbas_fed": 0.0, - "itc_sta_amount_deprbas_sta": 0.0, - "itc_sta_percent": [ - 0.0 - ], - "itc_sta_percent_deprbas_fed": 0.0, - "itc_sta_percent_deprbas_sta": 0.0, - "itc_sta_percent_maxvalue": [ - 1.0 - ], - "lib_dispatch_factor1": 1.0, - "lib_dispatch_factor2": 1.0, - "lib_dispatch_factor3": 1.0, - "lib_dispatch_factor4": 1.0, - "lib_dispatch_factor5": 1.0, - "lib_dispatch_factor6": 1.0, - "lib_dispatch_factor7": 1.0, - "lib_dispatch_factor8": 1.0, - "lib_dispatch_factor9": 1.0, - "lib_dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "lib_dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "load": [ - 65.6374, - 62.5244, - 75.1593, - 66.7696, - 78.4194, - 69.7381, - 79.1304, - 50.4748, - 50.3969, - 37.7489, - 37.1335, - 28.3751, - 27.4458, - 23.7839, - 21.3821, - 20.961, - 26.3378, - 42.4988, - 44.2319, - 50.8582, - 50.5752, - 57.2174, - 54.77, - 62.5655, - 62.5466, - 69.0273, - 64.4617, - 71.8981, - 68.3173, - 74.5008, - 234.676, - 217.896, - 230.172, - 191.794, - 172.779, - 165.046, - 163.967, - 149.935, - 141.272, - 139.715, - 147.919, - 155.912, - 130.833, - 132.272, - 128.764, - 130.137, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 163.928, - 142.125, - 168.956, - 147.914, - 139.89, - 136.938, - 140.046, - 132.461, - 127.632, - 127.446, - 136.442, - 141.771, - 114.75, - 114.797, - 108.864, - 112.226, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.076, - 124.442, - 158.465, - 139.534, - 130.405, - 127.095, - 126.792, - 121.982, - 117.195, - 117.09, - 126.775, - 131.461, - 102.11, - 102.849, - 94.7595, - 97.4781, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.517, - 110.213, - 150.037, - 131.9, - 122.468, - 122.902, - 124.274, - 124.874, - 120.462, - 119.828, - 127.824, - 134.513, - 105.677, - 104.267, - 94.6319, - 95.9547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 126.096, - 107.02, - 140.049, - 124.387, - 120.537, - 122.295, - 122.559, - 119.695, - 115.207, - 114.45, - 121.73, - 125.166, - 95.4737, - 98.0856, - 89.4985, - 91.2355, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.974, - 100.036, - 99.832, - 90.0105, - 85.6978, - 85.3829, - 82.6116, - 75.2912, - 50.1919, - 50.7114, - 57.5579, - 74.0381, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 157.675, - 130.223, - 152.852, - 132.786, - 126.285, - 125.564, - 129.219, - 125.691, - 121.073, - 120.048, - 124.656, - 126.2, - 96.4566, - 98.3456, - 91.5109, - 95.96, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.52, - 115.363, - 149.152, - 129.205, - 121.57, - 121.96, - 125.404, - 124.593, - 120.611, - 119.448, - 124.295, - 123.945, - 92.6343, - 94.9597, - 87.2084, - 89.9637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.737, - 94.7459, - 132.714, - 123.344, - 123.674, - 126.724, - 127.939, - 127.712, - 121.745, - 118.511, - 122.133, - 122.241, - 90.8912, - 92.1783, - 84.1639, - 86.7476, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 118.927, - 105.466, - 139.501, - 122.954, - 118.424, - 119.174, - 119.868, - 118.334, - 114.487, - 113.276, - 118.782, - 124.638, - 94.6371, - 97.2347, - 88.9331, - 91.8463, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 128.102, - 112.693, - 148.291, - 127.728, - 121.797, - 122.218, - 122.967, - 121.95, - 118.389, - 118.561, - 121.841, - 123.79, - 93.4902, - 96.5931, - 89.4855, - 92.9568, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 134.508, - 98.5746, - 96.21, - 89.2509, - 85.5446, - 85.8898, - 84.1672, - 78.4454, - 52.9495, - 51.6784, - 55.8877, - 71.3139, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 147.559, - 131.93, - 163.137, - 146.109, - 138.832, - 137.181, - 141.728, - 134.655, - 127.192, - 126.152, - 132.177, - 141.752, - 114.362, - 113.585, - 106.758, - 109.238, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.179, - 112.448, - 143.62, - 128.368, - 124.368, - 125.725, - 127.368, - 122.678, - 116.183, - 115.887, - 122.147, - 129.775, - 101.286, - 103.536, - 96.5743, - 99.8303, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.58, - 111.15, - 143.304, - 127.538, - 122.19, - 123.156, - 124.548, - 120.996, - 115.086, - 114.839, - 120.735, - 126.89, - 97.3894, - 99.7454, - 92.5019, - 96.1164, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 144.45, - 129.516, - 151.284, - 131.085, - 126.278, - 125.197, - 125.161, - 120.774, - 114.79, - 114.411, - 120.586, - 127.896, - 99.4435, - 102.658, - 95.887, - 99.5242, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 145.87, - 117.274, - 109.549, - 98.5057, - 91.9249, - 91.0462, - 85.4779, - 79.4584, - 56.5764, - 55.8243, - 60.2569, - 79.6187, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5655, - 20.9088, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 41.7921, - 43.4777, - 45.2287, - 47.5001, - 172.999, - 141.927, - 162.336, - 139.992, - 131.967, - 130.293, - 132.978, - 128.174, - 122.601, - 122.073, - 122.983, - 127.575, - 98.1835, - 101.311, - 94.9811, - 98.5038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 135.61, - 111.334, - 140.777, - 125.304, - 119.919, - 122.722, - 126.224, - 126.482, - 121.984, - 120.772, - 120.758, - 122.099, - 90.8145, - 93.2282, - 85.5756, - 87.9259, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 130.189, - 116.199, - 146.624, - 127.765, - 119.847, - 120.588, - 123.609, - 123.747, - 120.589, - 119.739, - 119.921, - 122.218, - 91.1469, - 93.502, - 86.4831, - 89.4501, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 122.168, - 105.558, - 139.074, - 122.528, - 118.052, - 119.799, - 122.725, - 124.003, - 120.995, - 121.575, - 120.365, - 121.677, - 89.1019, - 90.3988, - 82.4726, - 85.6087, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.553, - 99.4828, - 142.845, - 126.185, - 120.437, - 119.817, - 120.242, - 121.363, - 117.417, - 118.02, - 118.961, - 123.149, - 90.2986, - 92.1996, - 83.8984, - 86.4467, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 109.401, - 84.4718, - 94.076, - 88.0738, - 80.7528, - 83.2127, - 82.3072, - 77.4494, - 52.4732, - 52.6818, - 51.4629, - 66.9579, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.1874, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 103.701, - 89.6664, - 131.359, - 120.056, - 118.578, - 121.705, - 123.177, - 124.276, - 120.591, - 120.166, - 120.769, - 121.954, - 86.7076, - 88.5998, - 79.3628, - 82.0893, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.4304, - 94.0663, - 140.365, - 121.417, - 116.782, - 118.719, - 120.955, - 122.154, - 119.54, - 118.523, - 118.45, - 121.761, - 88.234, - 89.043, - 81.3983, - 83.9472, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 112.606, - 96.9766, - 135.261, - 121.816, - 117.521, - 119.454, - 122.226, - 122.842, - 119.272, - 117.702, - 119.457, - 119.407, - 88.74, - 90.1967, - 81.9256, - 84.2286, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.974, - 99.5702, - 136.224, - 122.069, - 117.45, - 121.217, - 123.712, - 123.718, - 119.188, - 118.688, - 120.167, - 119.499, - 89.2523, - 90.2419, - 81.1791, - 85.0077, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.853, - 92.1261, - 133.285, - 120.232, - 117.332, - 123.502, - 128.51, - 132.09, - 128.274, - 126.301, - 126.843, - 124.682, - 88.5132, - 86.9869, - 77.7439, - 81.0848, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 91.5629, - 78.622, - 92.9278, - 91.5897, - 87.6784, - 87.8362, - 85.3483, - 82.296, - 64.1723, - 66.6132, - 68.2239, - 80.7772, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 149.261, - 123.809, - 147.564, - 128.823, - 122.579, - 125.586, - 128.886, - 124.836, - 120.228, - 119.962, - 121.28, - 121.551, - 90.2391, - 90.854, - 82.0314, - 85.2273, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.751, - 92.1889, - 131.301, - 121.325, - 124.155, - 131.137, - 134.179, - 137.996, - 134.567, - 133.442, - 133.533, - 128.937, - 90.1826, - 88.5796, - 76.377, - 76.5461, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1058, - 68.9968, - 130.897, - 125.398, - 125.853, - 129.808, - 132.809, - 137.547, - 131.545, - 129.309, - 129.218, - 127.428, - 89.0817, - 85.0062, - 70.6225, - 70.7933, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.2074, - 69.7315, - 126.489, - 119.797, - 123.214, - 129.632, - 130.027, - 128.089, - 121.849, - 121.219, - 122.95, - 117.617, - 84.5629, - 86.6192, - 78.6097, - 81.9264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 98.7741, - 89.931, - 134.027, - 121.379, - 117.417, - 118.573, - 118.658, - 116.505, - 112.105, - 112.11, - 115.22, - 118.809, - 91.3067, - 93.6335, - 85.9244, - 88.7878, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 120.459, - 99.6859, - 104.526, - 94.9952, - 85.6986, - 85.5286, - 82.0141, - 75.6155, - 49.6814, - 49.085, - 50.8381, - 69.4183, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.4371, - 41.0401, - 40.9483, - 38.1295, - 23.7508, - 20.2999, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 44.2703, - 43.7942, - 46.1776, - 47.9632, - 173.422, - 145.755, - 164.054, - 142.812, - 131.482, - 129.958, - 134.414, - 129.863, - 124.955, - 125.085, - 124.736, - 122.557, - 93.7905, - 96.9914, - 90.1429, - 94.0503, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.515, - 99.2944, - 134.339, - 121.258, - 122.881, - 131.254, - 135.374, - 137.977, - 135.063, - 134.647, - 131.529, - 124.041, - 87.7284, - 85.0509, - 72.6978, - 74.8696, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 81.2074, - 82.4488, - 130.369, - 117.397, - 114.228, - 118.597, - 122.657, - 127.271, - 125.734, - 126.648, - 127.612, - 121.029, - 84.843, - 82.3218, - 70.757, - 72.6708, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.2832, - 77.8289, - 131.034, - 119.115, - 120.381, - 123.198, - 122.685, - 126.631, - 125.8, - 125.527, - 126.347, - 122.171, - 87.7384, - 85.7473, - 72.2303, - 73.0312, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.1811, - 69.3571, - 129.61, - 123.291, - 125.708, - 135.655, - 141.792, - 145.267, - 139.921, - 140.845, - 142.165, - 133.427, - 94.2794, - 87.8435, - 70.1639, - 69.64, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 68.789, - 62.8391, - 76.5092, - 70.8421, - 72.5226, - 80.6939, - 89.3684, - 89.9595, - 58.6703, - 56.3079, - 54.2341, - 53.9706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.5829, - 29.4769, - 29.4311, - 25.239, - 28.1271, - 29.5355, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.3406, - 21.0933, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 85.0074, - 81.8724, - 128.434, - 119.469, - 117.72, - 120.887, - 122.664, - 124.63, - 119.242, - 118.264, - 120.064, - 114.243, - 84.6477, - 85.9791, - 76.7819, - 79.5819, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.574, - 91.18, - 133.428, - 120.105, - 116.96, - 120.703, - 122.511, - 124.757, - 121.607, - 121.502, - 125.737, - 117.521, - 83.5195, - 83.6921, - 74.9305, - 78.3314, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 88.3255, - 83.8613, - 130.367, - 118.412, - 118.386, - 124.314, - 128.832, - 134.719, - 131.073, - 131.513, - 134.676, - 124.996, - 88.1483, - 82.9673, - 69.6922, - 72.431, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.6865, - 78.7972, - 128.897, - 119.916, - 123.694, - 130.92, - 132.223, - 138.328, - 134.88, - 134.717, - 139.558, - 129.299, - 91.851, - 89.2706, - 74.6701, - 73.6442, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.5923, - 50.8136, - 70.4639, - 75.6751, - 77.8559, - 83.5333, - 83.3455, - 79.8957, - 50.416, - 47.6804, - 45.3121, - 44.5892, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.8954, - 20.4926, - 21.1161, - 20.7317, - 22.5712, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.9661, - 78.4209, - 128.068, - 120.228, - 122.232, - 128.351, - 133.361, - 137.966, - 135.023, - 136.043, - 137.51, - 127.135, - 89.708, - 85.6968, - 69.9352, - 69.2689, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8279, - 68.543, - 128.276, - 123.124, - 127.45, - 137.01, - 143.12, - 149.938, - 148.811, - 153.625, - 155.723, - 142.307, - 101.58, - 94.3632, - 77.483, - 74.4637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.9313, - 72.8519, - 125.761, - 116.131, - 115.616, - 118.396, - 121.175, - 124.148, - 120.239, - 119.952, - 120.909, - 113.931, - 83.5578, - 83.2938, - 71.9689, - 74.3505, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.305, - 78.6787, - 128.767, - 117.487, - 119.011, - 124.25, - 125.161, - 128.011, - 122.36, - 120.84, - 123.713, - 117.172, - 82.9132, - 82.5355, - 72.2463, - 75.3409, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0567, - 86.869, - 135.769, - 121.407, - 118.063, - 120.168, - 120.839, - 121.408, - 116.83, - 116.419, - 119.312, - 117.314, - 89.3496, - 88.8048, - 78.5845, - 80.3799, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 80.9004, - 68.1961, - 77.0203, - 78.0225, - 79.1845, - 81.9923, - 80.7572, - 77.9939, - 55.052, - 57.562, - 60.5822, - 64.7182, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.1838, - 84.9033, - 130.385, - 119.919, - 119.306, - 123.454, - 124.22, - 124.452, - 121.401, - 121.577, - 123.318, - 114.867, - 86.1306, - 84.0178, - 73.4622, - 76.872, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.3915, - 82.324, - 128.165, - 119.55, - 120.943, - 126.516, - 129.961, - 135.918, - 133.583, - 133.788, - 132.953, - 120.288, - 88.3243, - 84.1777, - 69.6655, - 70.7734, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.8507, - 73.0825, - 127.65, - 121.858, - 126.34, - 135.444, - 139.881, - 146.08, - 141.763, - 140.45, - 142.052, - 131.52, - 95.68, - 88.7978, - 71.6284, - 70.0038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.0338, - 66.7377, - 129.097, - 127.287, - 130.95, - 142.693, - 144.605, - 149.31, - 146.199, - 145.083, - 146.327, - 134.154, - 100.622, - 93.4091, - 73.7635, - 74.4936, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.5939, - 61.398, - 129.761, - 125.369, - 132.447, - 142.265, - 143.388, - 148.734, - 146.38, - 146.5, - 145.575, - 130.295, - 94.4359, - 89.4222, - 73.1822, - 71.6438, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 42.2636, - 47.6857, - 73.3371, - 76.9813, - 78.8421, - 85.4943, - 87.3965, - 84.7442, - 53.8986, - 49.861, - 47.6768, - 43.6239, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.9283, - 79.8551, - 134.102, - 121.489, - 117.108, - 117.637, - 118.244, - 116.48, - 112.39, - 114.382, - 118.608, - 107.881, - 74.618, - 85.984, - 77.7682, - 80.5875, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 89.8266, - 86.848, - 132.776, - 118.442, - 116.302, - 119.762, - 121.633, - 123.643, - 122.477, - 123.919, - 125.068, - 112.251, - 78.2212, - 85.6934, - 71.4617, - 71.6353, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 87.7677, - 82.3362, - 131.651, - 118.206, - 118.501, - 123.843, - 127.326, - 132.751, - 131.767, - 132.713, - 136.447, - 124.041, - 87.1944, - 91.2282, - 73.0287, - 71.5962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4917, - 70.8912, - 126.346, - 121.111, - 123.364, - 129.271, - 135.667, - 143.393, - 144.88, - 149.774, - 156.483, - 141.859, - 93.5192, - 94.3326, - 73.1323, - 68.8229, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.7122, - 66.7855, - 125.881, - 121.267, - 125.944, - 135.4, - 141.945, - 149.745, - 148.69, - 152.957, - 158.845, - 144.611, - 96.5542, - 99.3558, - 78.2298, - 73.5792, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 54.3162, - 45.0313, - 69.98, - 77.8579, - 84.4665, - 92.0897, - 94.2012, - 94.5013, - 66.0984, - 65.0125, - 64.7387, - 55.3079, - 26.7323, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 22.2332, - 22.8165, - 32.7295, - 37.6599, - 42.0701, - 38.1407, - 44.4115, - 39.7426, - 34.3168, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.4291, - 62.9524, - 130.125, - 128.084, - 132.488, - 140.636, - 147.665, - 159.957, - 160.645, - 165.921, - 172.007, - 156.374, - 105.246, - 106.153, - 85.1244, - 80.1288, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.7446, - 60.8894, - 131.822, - 130.161, - 138.527, - 149.876, - 153.78, - 164.872, - 164.495, - 167.643, - 171.376, - 152.257, - 102.968, - 104.106, - 82.4524, - 78.2332, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.1166, - 63.819, - 135.209, - 128.197, - 128.413, - 134.494, - 134.603, - 144.112, - 144.12, - 146.048, - 146.055, - 126.037, - 80.1284, - 86.106, - 68.9044, - 67.4134, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.5567, - 68.3103, - 126.165, - 115.742, - 112.888, - 115.208, - 117.619, - 122.46, - 119.82, - 121.409, - 126.168, - 113.241, - 74.1242, - 81.7248, - 65.0699, - 67.1585, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 79.8937, - 77.5744, - 130.24, - 116.98, - 114.939, - 119.615, - 122.49, - 128.465, - 127.97, - 129.468, - 134.053, - 122.069, - 81.1643, - 87.5077, - 70.7853, - 70.079, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.8839, - 54.3597, - 70.9123, - 72.6442, - 74.5289, - 78.9558, - 79.7041, - 80.2502, - 52.361, - 52.0242, - 51.074, - 41.9165, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 19.7223, - 19.2984, - 18.7714, - 18.7714, - 20.8346, - 22.3906, - 23.2765, - 30.6705, - 32.2232, - 23.4251, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 74.3379, - 76.7696, - 128.834, - 122.656, - 124.814, - 131.312, - 135.25, - 141.939, - 140.479, - 141.374, - 143.878, - 129.222, - 86.5641, - 91.8302, - 73.8203, - 72.2079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.6246, - 65.8477, - 131.372, - 124.827, - 125.691, - 130.759, - 132.752, - 135.725, - 130.679, - 130.025, - 131.039, - 117.887, - 76.7346, - 86.1244, - 68.4688, - 66.315, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.4407, - 75.4997, - 128.957, - 116.648, - 113.645, - 117.508, - 120.712, - 126.149, - 125.324, - 127.242, - 132.425, - 118.756, - 75.9322, - 85.9619, - 68.4119, - 68.4751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.6345, - 74.551, - 126.805, - 119.035, - 120.842, - 127.823, - 132.001, - 140.423, - 139.36, - 144.286, - 151.053, - 135.855, - 89.5411, - 94.8905, - 74.4708, - 71.4572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 57.5239, - 64.2065, - 126.383, - 121.758, - 125.553, - 134.499, - 139.971, - 149.351, - 150.718, - 155.601, - 162.368, - 148.948, - 99.1819, - 102.062, - 77.8837, - 74.6351, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.4773, - 46.4038, - 73.8587, - 81.9449, - 86.7091, - 93.9951, - 97.8267, - 99.6959, - 71.2797, - 70.8948, - 73.2264, - 62.7134, - 28.1005, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 21.4214, - 27.1091, - 29.0738, - 28.741, - 34.6766, - 42.2791, - 40.22, - 45.0991, - 44.1284, - 45.5909, - 37.87, - 38.2507, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.8532, - 61.3864, - 133.685, - 132.233, - 138.316, - 147.485, - 150.226, - 161.462, - 162.997, - 168.239, - 174.332, - 159.009, - 104.438, - 110.972, - 87.2418, - 79.706, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0407, - 59.0522, - 131.243, - 128.37, - 133.604, - 146.386, - 149.751, - 160.785, - 162.466, - 167.56, - 173.898, - 157.862, - 102.947, - 107.683, - 83.2585, - 77.247, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0113, - 58.6284, - 128.191, - 121.964, - 123.165, - 129.6, - 132.302, - 142.052, - 145.55, - 153.425, - 157.602, - 138.45, - 88.281, - 92.9608, - 71.7437, - 68.7407, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.5548, - 60.7805, - 125.684, - 118.949, - 120.68, - 126.622, - 129.827, - 137.531, - 136.577, - 138.099, - 141.574, - 125.918, - 78.6719, - 86.0583, - 68.4183, - 67.742, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.152, - 61.7136, - 128.356, - 124.008, - 125.953, - 134.08, - 139.931, - 149.139, - 149.117, - 154.462, - 161.711, - 147.407, - 96.131, - 102.454, - 80.3328, - 75.8685, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 43.6683, - 43.7258, - 71.7377, - 77.1791, - 78.296, - 82.8729, - 86.2269, - 88.0662, - 60.9816, - 61.6987, - 64.5998, - 56.7667, - 23.9401, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 25.04, - 30.5038, - 28.4587, - 25.8726, - 26.0957, - 33.045, - 31.8747, - 41.1916, - 41.7748, - 31.4146, - 20.8047, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.1829, - 63.2713, - 134.211, - 130.156, - 136.589, - 146.542, - 149.222, - 159.608, - 160.34, - 164.756, - 169.711, - 153.234, - 99.254, - 102.567, - 82.4729, - 77.4227, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.1528, - 61.6384, - 136.06, - 132.857, - 134.569, - 141.395, - 145.353, - 156.327, - 157.611, - 163.197, - 171.062, - 158.189, - 104.83, - 108.849, - 89.532, - 84.8615, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.0389, - 64.8366, - 141.346, - 139.321, - 144.299, - 151.952, - 152.562, - 162.376, - 163.236, - 168.388, - 175.314, - 160.928, - 106.651, - 110.023, - 91.6166, - 86.5361, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.9963, - 64.093, - 140.857, - 139.35, - 146.126, - 157.494, - 162.556, - 173.804, - 175.24, - 179.983, - 188.079, - 172.409, - 114.799, - 115.247, - 95.0421, - 90.5571, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3695, - 65.4903, - 144.748, - 149.109, - 156.554, - 168.384, - 174.395, - 187.699, - 188.245, - 189.789, - 191.434, - 170.932, - 114.593, - 116.762, - 94.9978, - 90.3065, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.9595, - 44.4482, - 69.3626, - 72.3624, - 75.5321, - 80.0536, - 78.7292, - 77.2167, - 48.6567, - 46.9404, - 45.868, - 36.2655, - 22.2226, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.5402, - 37.4433, - 32.9169, - 30.4931, - 31.9691, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.7083, - 66.1048, - 124.628, - 115.509, - 115.295, - 119.871, - 122.147, - 126.515, - 123.744, - 124.697, - 127.929, - 113.915, - 71.3495, - 78.9198, - 65.7292, - 67.9154, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.369, - 70.151, - 127.021, - 116.399, - 116.048, - 119.76, - 121.555, - 126.9, - 125.811, - 125.623, - 126.897, - 112.548, - 70.7588, - 77.7666, - 66.6192, - 69.4532, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8006, - 75.2788, - 128.81, - 116.877, - 116.2, - 120.524, - 122.047, - 125.011, - 121.618, - 120.914, - 123.746, - 113.029, - 73.9834, - 81.9082, - 67.3704, - 67.7235, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 61.3638, - 70.2604, - 126.562, - 118.576, - 118.586, - 123.154, - 125.612, - 131.682, - 131.134, - 134.266, - 140.869, - 128.786, - 84.7729, - 89.89, - 73.7651, - 71.0646, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.7243, - 64.3224, - 126.366, - 120.706, - 122.544, - 130.042, - 134.492, - 142.155, - 142.368, - 147.787, - 155.382, - 141.624, - 91.4614, - 88.4601, - 70.4178, - 69.3797, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.0842, - 46.0879, - 74.0487, - 82.7558, - 84.3139, - 91.8135, - 95.1591, - 94.1539, - 66.0308, - 66.6632, - 68.8192, - 60.3246, - 38.8048, - 39.0184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 19.0765, - 27.1583, - 32.9917, - 34.3032, - 28.7563, - 20.4896, - 30.7491, - 34.6851, - 41.9585, - 46.1535, - 44.0584, - 38.6508, - 37.4947, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.0391, - 62.8071, - 134.314, - 128.465, - 128.327, - 131.675, - 131.761, - 136.86, - 132.215, - 130.117, - 132.756, - 122.496, - 83.3951, - 89.1851, - 76.1967, - 75.5725, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.2543, - 62.7236, - 132.484, - 127.787, - 127.896, - 132.541, - 134.898, - 143.959, - 144.492, - 149.319, - 154.887, - 140.308, - 90.1835, - 90.2344, - 73.5422, - 69.9378, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 39.2137, - 60.5049, - 128.769, - 122.621, - 123.305, - 126.911, - 128.55, - 134.286, - 130.593, - 130.716, - 132.876, - 117.576, - 74.4659, - 78.6808, - 66.8812, - 65.9344, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1688, - 62.6748, - 125.124, - 119.281, - 120.392, - 124.942, - 127.83, - 132.31, - 129.663, - 131.354, - 136.478, - 122.485, - 77.7101, - 81.0276, - 67.4824, - 66.0547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.3424, - 64.4753, - 124.595, - 117.906, - 118.406, - 123.321, - 127.374, - 134.869, - 135.002, - 139.331, - 148.026, - 138.45, - 93.9842, - 96.6093, - 81.8488, - 78.0342, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 37.5736, - 43.8939, - 71.6506, - 76.011, - 79.2391, - 85.9904, - 88.1892, - 89.5123, - 60.9987, - 57.9527, - 57.3685, - 47.3507, - 24.8517, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 20.0854, - 20.6267, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 22.7355, - 26.607, - 27.0776, - 22.1449, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.5681, - 66.3784, - 126.361, - 120.779, - 120.521, - 126.185, - 127.953, - 131.208, - 129.658, - 134.934, - 143.569, - 133.209, - 89.0637, - 92.7855, - 79.1082, - 75.7776, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.769, - 64.9103, - 137.224, - 130.992, - 131.823, - 139.611, - 142.618, - 151.561, - 149.946, - 152.682, - 152.739, - 133.288, - 82.2126, - 83.7803, - 70.7446, - 68.4837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.0623, - 64.038, - 127.931, - 121.924, - 121.913, - 126.77, - 128.229, - 132.896, - 130.55, - 131.374, - 136.072, - 122.141, - 78.0349, - 82.8535, - 70.6593, - 68.6232, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.534, - 60.0089, - 126.871, - 119.838, - 120.58, - 126.795, - 131.086, - 138.568, - 140.457, - 145.869, - 152.216, - 136.241, - 86.6061, - 87.5908, - 72.2149, - 68.9076, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.618, - 60.2328, - 128.616, - 125.855, - 130.205, - 137.279, - 140.82, - 149.723, - 149.823, - 156.073, - 163.947, - 150.005, - 100.953, - 97.1752, - 82.3749, - 78.4735, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 37.6882, - 46.9793, - 77.0701, - 86.4713, - 90.7444, - 95.6547, - 97.3365, - 97.9433, - 71.222, - 73.9435, - 75.7702, - 66.9583, - 43.0092, - 44.4502, - 38.5038, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 19.2731, - 31.2054, - 36.2287, - 38.889, - 38.172, - 35.3701, - 32.7311, - 34.3047, - 39.4602, - 44.7426, - 46.7916, - 45.3803, - 44.9368, - 46.3228, - 38.1948, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.8589, - 65.5684, - 144.535, - 141.984, - 145.659, - 152.241, - 154.308, - 165.571, - 165.349, - 164.595, - 168.628, - 150.286, - 98.0196, - 93.5665, - 79.3866, - 74.9124, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.4022, - 62.6383, - 135.175, - 131.618, - 133.625, - 140.946, - 146.244, - 157.409, - 157.625, - 161.693, - 166.174, - 150.201, - 96.0865, - 91.109, - 76.3352, - 72.127, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 34.5302, - 58.1158, - 131.084, - 128.3, - 131.648, - 140.337, - 145.856, - 157.564, - 156.871, - 160.652, - 169.102, - 154.733, - 103.853, - 102.152, - 86.4883, - 81.043, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 37.6487, - 62.003, - 139.446, - 137.906, - 143.738, - 152.449, - 154.172, - 164.235, - 165.526, - 170.759, - 179.88, - 167.905, - 115.776, - 109.426, - 91.0097, - 87.6493, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 42.8851, - 68.8443, - 150.728, - 150.571, - 154.553, - 162.81, - 166.841, - 179.973, - 182.987, - 189.059, - 193.68, - 175.524, - 120.186, - 112.16, - 91.3878, - 87.0327, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 47.2172, - 55.4205, - 88.1531, - 94.3473, - 96.6294, - 103.09, - 105.696, - 104.775, - 74.932, - 76.291, - 74.8477, - 61.5106, - 38.2127, - 33.3459, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.8031, - 29.5567, - 30.0297, - 33.1169, - 26.2197, - 22.0104, - 29.8991, - 29.805, - 43.4732, - 43.262, - 44.4254, - 40.4732, - 35.0622, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.7472, - 58.2243, - 127.954, - 122.102, - 123.479, - 128.871, - 131.774, - 140.554, - 140.774, - 149.723, - 159.505, - 146.714, - 97.3258, - 92.1289, - 75.1853, - 70.4336, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.2205, - 58.6763, - 131.154, - 127.893, - 132.55, - 140.255, - 143.895, - 154.066, - 153.286, - 158.36, - 166.178, - 152.008, - 104.901, - 100.167, - 82.7435, - 78.6812, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.0223, - 60.2995, - 137.967, - 137.604, - 142.959, - 152.617, - 155.874, - 166.593, - 168.194, - 174.007, - 180.822, - 167.851, - 117.818, - 112.151, - 92.2795, - 87.5903, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.835, - 65.6699, - 146.917, - 143.135, - 146.877, - 157.39, - 163.599, - 176.08, - 177.324, - 180.874, - 188.515, - 174.686, - 118.414, - 107.539, - 90.9205, - 86.1264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 47.4124, - 69.9245, - 149.821, - 147.249, - 150.162, - 156.323, - 157.526, - 168.027, - 167.913, - 173.603, - 182.131, - 164.107, - 111.132, - 105.028, - 88.0136, - 81.831, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 38.0113, - 47.846, - 77.6638, - 82.8101, - 85.4024, - 90.6292, - 92.6013, - 94.0708, - 67.9454, - 71.1323, - 73.1412, - 62.8177, - 39.7923, - 40.4683, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.482, - 28.5051, - 32.017, - 31.5775, - 26.9776, - 24.3388, - 27.726, - 33.1362, - 41.692, - 44.9824, - 43.355, - 45.2788, - 43.5553, - 40.4872, - 35.0372, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 37.7471, - 62.4864, - 136.434, - 132.212, - 133.91, - 141.817, - 145.867, - 154.757, - 155.024, - 162.016, - 174.378, - 161.139, - 108.2, - 101.263, - 88.66, - 83.2539, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 46.4421, - 71.1007, - 148.301, - 145.521, - 148.258, - 152.931, - 152.667, - 160.065, - 159.495, - 162.482, - 167.864, - 153.517, - 104.212, - 100.28, - 86.088, - 82.4135, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.9368, - 67.6722, - 147.521, - 144.574, - 145.272, - 151.639, - 150.254, - 158.385, - 156.288, - 156.628, - 155.792, - 139.086, - 95.2451, - 94.772, - 84.7145, - 81.3023, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.4624, - 70.2877, - 150.636, - 149.334, - 152.466, - 158.872, - 160.123, - 172.598, - 175.783, - 180.294, - 188.872, - 175.125, - 122.873, - 113.839, - 95.9802, - 91.3442, - 41.6166, - 43.8912, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 51.3942, - 75.8401, - 160.924, - 159.327, - 164.61, - 174.91, - 174.524, - 187.088, - 191.371, - 198.295, - 197.203, - 174.797, - 120.109, - 113.761, - 98.6324, - 94.0161, - 41.6166, - 44.328, - 40.6651, - 36.9883, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 55.0653, - 61.5475, - 92.2712, - 101.527, - 108.619, - 114.725, - 116.985, - 115.622, - 83.1234, - 77.4784, - 73.8617, - 62.0457, - 32.0179, - 39.8192, - 39.6141, - 33.5754, - 38.9989, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 27.9937, - 40.5519, - 40.1898, - 43.1526, - 42.5758, - 44.2812, - 40.6347, - 46.6996, - 45.9491, - 48.8877, - 42.8779, - 48.7192, - 42.5042, - 46.7276, - 46.6115, - 44.262, - 39.2828, - 38.9584, - 33.5754, - 38.273, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.3122, - 37.4182, - 38.5624, - 41.9616, - 40.7537, - 41.376, - 34.673, - 38.3448, - 39.3285, - 46.3254, - 44.2231, - 46.0086, - 41.7476, - 45.3716, - 40.165, - 37.4708, - 35.9762, - 34.7379, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.4475, - 63.2309, - 136.576, - 131.774, - 133.582, - 139.793, - 144.552, - 154.588, - 156.853, - 165.411, - 172.347, - 158.304, - 108.565, - 103.181, - 85.1724, - 78.5426, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 34.4608, - 60.6191, - 135.035, - 131.593, - 135.807, - 144.967, - 147.986, - 159.688, - 163.708, - 172.084, - 180.383, - 164.111, - 112.446, - 107.492, - 92.4108, - 87.6429, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.029, - 67.7405, - 147.362, - 147.151, - 150.956, - 160.051, - 165.412, - 178.98, - 182.611, - 190.276, - 197.942, - 184.529, - 131.691, - 122.398, - 101.919, - 95.6129, - 41.6166, - 44.3309, - 40.5071, - 42.0127, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 52.8469, - 76.1312, - 164.442, - 167.055, - 174.091, - 183.095, - 184.461, - 197.069, - 203.315, - 214.152, - 218.819, - 200.012, - 142.555, - 126.957, - 104.333, - 97.9217, - 47.3522, - 40.6726, - 42.2983, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 54.4545, - 62.2385, - 97.1897, - 107.672, - 112.136, - 118.59, - 122.749, - 127.635, - 96.6729, - 97.5014, - 100.49, - 91.9638, - 49.7253, - 47.0907, - 53.8132, - 48.154, - 41.006, - 39.3456, - 37.241, - 38.2512, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 27.7897, - 37.4294, - 39.3973, - 43.9902, - 44.7326, - 49.0706, - 46.918, - 50.2413, - 46.7583, - 54.2284, - 50.1686, - 50.6717, - 47.5885, - 51.393, - 50.31, - 50.0658, - 45.7723, - 47.8415, - 38.0145, - 45.3427, - 45.1451, - 42.3807, - 42.3128, - 42.3515, - 61.4454, - 87.9024, - 178.222, - 175.99, - 180.207, - 189.254, - 188.357, - 194.164, - 184.555, - 187.238, - 186.724, - 167.208, - 114.255, - 107.468, - 96.8432, - 93.1377, - 41.6166, - 44.0362, - 40.5905, - 42.201, - 40.4416, - 41.9224, - 38.9362, - 38.7523, - 56.8425, - 77.0015, - 162.326, - 159.031, - 163.428, - 169.726, - 167.377, - 179.461, - 165.433, - 140.453, - 144.898, - 144.305, - 101.433, - 97.2058, - 86.1532, - 82.2764, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 49.5294, - 74.2807, - 163.04, - 155.226, - 149.508, - 156.335, - 157.139, - 166.377, - 168.007, - 174.004, - 180.992, - 165.504, - 112.133, - 103.68, - 93.2984, - 88.2411, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 50.2052, - 75.3768, - 158.096, - 153.897, - 154.367, - 159.764, - 160.553, - 172.794, - 176.017, - 181.31, - 188.91, - 176.213, - 120.439, - 111.134, - 96.1823, - 91.4155, - 41.6166, - 44.5323, - 38.9362, - 38.9362, - 43.5449, - 38.9362, - 38.9362, - 34.2146, - 52.1434, - 75.832, - 161.881, - 158.778, - 159.565, - 164.934, - 168.334, - 181.133, - 183.116, - 191.664, - 199.86, - 185.156, - 133.389, - 123.836, - 104.565, - 95.45, - 47.1942, - 40.5303, - 42.1295, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 50.4699, - 57.0338, - 89.5093, - 98.2043, - 102.354, - 109.235, - 113.742, - 117.252, - 89.3465, - 94.1742, - 94.6693, - 80.8635, - 45.54, - 44.5284, - 46.6009, - 40.4631, - 37.3388, - 35.3927, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 23.893, - 31.5964, - 39.8916, - 37.9223, - 44.8673, - 40.8063, - 44.4725, - 39.6935, - 49.063, - 47.7767, - 55.5106, - 49.2277, - 54.5874, - 47.7973, - 57.3912, - 46.3232, - 41.2949, - 36.6476, - 35.0666, - 42.8602, - 42.394, - 38.9362, - 38.9362, - 34.2146, - 51.0176, - 77.2111, - 163.975, - 164.133, - 171.458, - 182.86, - 185.69, - 200.225, - 207.667, - 218.618, - 223.04, - 203.129, - 145.857, - 132.971, - 112.46, - 101.449, - 46.327, - 44.3437, - 42.2325, - 40.5486, - 43.3079, - 38.9362, - 38.9362, - 35.4955, - 56.0097, - 80.9663, - 170.519, - 171.558, - 180.337, - 192.46, - 196.779, - 221.416, - 230.861, - 231.478, - 233.301, - 215.573, - 150.935, - 130.769, - 106.225, - 98.3201, - 47.3371, - 42.5317, - 40.7036, - 43.8262, - 41.7352, - 40.2955, - 38.9362, - 34.2146, - 50.2569, - 76.2754, - 165.894, - 166.405, - 171.05, - 179.005, - 181.102, - 193.768, - 194.582, - 198.032, - 202.401, - 183.049, - 126.684, - 114.322, - 95.7278, - 88.0385, - 41.6166, - 42.4275, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 40.6255, - 64.5003, - 143.482, - 140.56, - 146.776, - 156.185, - 158.851, - 170.161, - 173.71, - 180.588, - 188.605, - 172.501, - 117.267, - 107.136, - 92.3655, - 86.2837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.286, - 67.9923, - 149.315, - 149.746, - 153.872, - 163.297, - 164.402, - 174.823, - 178.843, - 185.58, - 192.488, - 176.751, - 123.447, - 114.691, - 97.8279, - 90.8628, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 44.6952, - 54.0664, - 87.9593, - 96.1507, - 98.9663, - 105.266, - 108.893, - 111.455, - 81.9898, - 83.5974, - 85.7555, - 74.9004, - 43.9267, - 42.9032, - 44.3724, - 37.473, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 22.8747, - 34.9112, - 38.5529, - 41.7981, - 41.8734, - 43.2313, - 38.9733, - 43.6701, - 43.8712, - 47.0199, - 50.7143, - 47.5638, - 48.2188, - 44.6832, - 48.593, - 40.9827, - 33.5754, - 37.8721, - 33.5754, - 42.366, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 46.3487, - 71.8328, - 157.981, - 157.303, - 160.162, - 168.558, - 171.841, - 185.954, - 189.417, - 194.657, - 200.163, - 180.558, - 126.138, - 115.4, - 97.2838, - 91.373, - 41.6166, - 42.0957, - 40.4904, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 53.2926, - 76.1921, - 162.199, - 159.538, - 162.493, - 168.727, - 171.107, - 184.944, - 187.726, - 193.197, - 198.915, - 181.314, - 125.734, - 114.518, - 96.7329, - 90.0728, - 41.6166, - 41.5805, - 40.2179, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 47.0066, - 73.5484, - 161.117, - 157.988, - 160.225, - 165.749, - 166.594, - 180.533, - 183.688, - 190.568, - 196.046, - 176.356, - 120.142, - 110.104, - 93.4373, - 86.8373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 43.4058, - 67.4768, - 151.342, - 151.186, - 155.036, - 163.369, - 168.158, - 181.031, - 182.766, - 191.158, - 199.768, - 186.927, - 136.072, - 127.73, - 105.675, - 97.2228, - 45.3655, - 40.7566, - 43.6201, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 48.4657, - 74.9302, - 164.579, - 164.54, - 169.419, - 179.131, - 182.12, - 195.115, - 194.666, - 205.14, - 217.956, - 191.46, - 137.172, - 131.869, - 115.503, - 104.751, - 51.149, - 45.9375, - 44.3028, - 33.5754, - 38.1535, - 33.5754, - 33.5754, - 26.1734, - 52.8389, - 61.1192, - 95.4662, - 107.007, - 114.54, - 122.832, - 127.042, - 128.877, - 99.644, - 102.101, - 104.116, - 93.6105, - 50.1247, - 47.0144, - 53.0985, - 45.0806, - 44.6686, - 37.4366, - 38.9231, - 33.5754, - 37.7027, - 33.5754, - 33.5754, - 26.1734, - 26.9605, - 34.6029, - 43.3024, - 40.565, - 48.1295, - 44.7838, - 52.0177, - 47.351, - 56.0725, - 52.216, - 60.4164, - 53.2734, - 58.5794, - 50.4741, - 62.2833, - 47.6445, - 47.7476, - 44.9171, - 40.51, - 44.8603, - 43.7901, - 42.2282, - 42.0918, - 37.9532, - 59.8601, - 83.8223, - 173.585, - 174.439, - 180.255, - 191.034, - 198.996, - 223.288, - 224.332, - 228.653, - 236.469, - 222.903, - 170.413, - 156.192, - 121.69, - 107.725, - 50.7132, - 49.0044, - 43.2153, - 43.3173, - 41.6221, - 40.2571, - 42.6657, - 34.2146, - 57.4315, - 82.7227, - 174.964, - 175.313, - 180.917, - 191.575, - 196.725, - 220.556, - 228.578, - 230.428, - 235.475, - 216.219, - 153.331, - 133.903, - 111.424, - 103.002, - 47.1273, - 48.58, - 43.7784, - 44.595, - 44.0435, - 38.9362, - 43.5038, - 36.6819, - 57.8219, - 80.3781, - 169.609, - 168.873, - 174.987, - 184.451, - 188.176, - 202.989, - 209.921, - 222.049, - 225.338, - 198.407, - 136.558, - 123.628, - 105.676, - 100.01, - 47.6686, - 48.7252, - 38.9362, - 43.5535, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 49.5892, - 76.2959, - 166.449, - 164.986, - 168.561, - 177.766, - 179.416, - 194.0, - 205.258, - 220.558, - 225.96, - 206.924, - 140.781, - 127.773, - 108.266, - 99.6405, - 47.4092, - 40.7942, - 42.6126, - 44.1141, - 38.9362, - 42.6497, - 38.9362, - 36.6819, - 47.8256, - 73.1196, - 163.686, - 161.049, - 163.083, - 171.578, - 175.851, - 192.346, - 201.453, - 218.077, - 224.355, - 203.692, - 139.746, - 122.483, - 101.139, - 95.1179, - 43.3981, - 42.426, - 43.6435, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 48.4555, - 55.6408, - 86.9337, - 92.244, - 95.1485, - 102.142, - 106.066, - 110.269, - 83.5964, - 88.2165, - 92.1577, - 83.6654, - 48.4356, - 47.031, - 59.4297, - 44.6869, - 41.5394, - 38.3123, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 24.5123, - 35.3661, - 37.2975, - 40.1954, - 39.5906, - 42.6903, - 40.9116, - 41.4746, - 46.9348, - 50.1979, - 53.2835, - 54.8975, - 55.734, - 54.0741, - 60.9568, - 53.3001, - 46.8896, - 41.1054, - 39.3078, - 41.5509, - 41.4085, - 41.3429, - 41.046, - 37.7176, - 47.2819, - 73.0506, - 162.641, - 161.747, - 168.063, - 179.831, - 186.613, - 204.564, - 218.925, - 231.067, - 240.167, - 224.568, - 168.531, - 150.237, - 122.516, - 109.776, - 50.769, - 49.1468, - 43.5484, - 36.5959, - 35.0726, - 35.0097, - 36.4128, - 28.6407, - 31.9025, - 39.9773, - 40.6932, - 44.5379, - 44.4366, - 48.3603, - 48.8608, - 54.5533, - 55.1346, - 60.0521, - 55.0052, - 58.5356, - 57.2107, - 58.0062, - 62.3018, - 57.6018, - 52.8137, - 48.5705, - 45.674, - 47.0158, - 48.88, - 43.4671, - 43.9847, - 41.3714, - 61.914, - 86.9467, - 181.086, - 181.449, - 187.562, - 199.634, - 206.893, - 236.446, - 243.442, - 249.831, - 252.822, - 237.092, - 178.633, - 160.063, - 129.085, - 120.251, - 55.3817, - 56.595, - 48.1278, - 49.9898, - 42.4037, - 42.1935, - 43.3778, - 39.4545, - 59.3723, - 84.6907, - 180.423, - 180.836, - 186.225, - 197.275, - 205.302, - 231.362, - 240.712, - 249.681, - 263.722, - 249.91, - 188.621, - 173.452, - 134.803, - 121.631, - 56.1207, - 57.5053, - 50.6343, - 49.1768, - 44.4999, - 44.3999, - 44.3927, - 42.8778, - 61.4139, - 86.7599, - 186.891, - 190.837, - 198.747, - 207.645, - 211.838, - 241.774, - 262.163, - 268.742, - 274.231, - 262.211, - 199.747, - 178.862, - 145.017, - 122.382, - 55.8128, - 58.1977, - 51.3724, - 48.2751, - 42.5604, - 39.8775, - 38.8493, - 38.2728, - 62.2958, - 66.9385, - 99.3759, - 111.364, - 120.912, - 129.247, - 133.878, - 135.635, - 106.555, - 114.328, - 119.437, - 104.461, - 50.5622, - 47.9985, - 60.8511, - 54.6621, - 49.8308, - 46.5466, - 46.981, - 41.9778, - 42.7805, - 39.0512, - 37.0722, - 31.2265, - 33.3839, - 38.6382, - 43.8889, - 43.7071, - 48.3729, - 47.5619, - 51.6026, - 50.1884, - 54.9051, - 53.3735, - 58.4586, - 53.8277, - 54.7098, - 50.0536, - 59.649, - 48.6389, - 48.6278, - 45.5337, - 44.6283, - 44.7576, - 44.0365, - 43.6571, - 43.2912, - 40.7662, - 53.3583, - 77.4842, - 168.633, - 166.629, - 172.569, - 182.683, - 184.18, - 199.375, - 207.337, - 223.627, - 228.76, - 212.11, - 141.785, - 128.243, - 108.852, - 100.156, - 47.3052, - 46.6773, - 44.1374, - 42.0815, - 40.4822, - 43.2651, - 38.9362, - 41.0257, - 56.3967, - 77.2287, - 163.718, - 162.252, - 165.364, - 174.903, - 178.224, - 190.424, - 186.102, - 192.375, - 182.099, - 166.713, - 117.976, - 111.192, - 98.874, - 93.7265, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 58.1613, - 81.4868, - 169.651, - 166.546, - 171.223, - 180.723, - 181.846, - 198.188, - 203.565, - 211.162, - 224.519, - 209.796, - 145.074, - 133.809, - 111.991, - 101.711, - 46.3424, - 47.2849, - 43.4706, - 43.8225, - 40.3381, - 41.6981, - 38.9362, - 37.268, - 52.7569, - 76.9014, - 167.819, - 166.542, - 171.1, - 182.61, - 189.547, - 206.086, - 218.413, - 229.232, - 234.381, - 219.312, - 163.146, - 143.124, - 117.897, - 105.469, - 51.9356, - 44.7061, - 48.4803, - 43.1027, - 43.7765, - 41.7532, - 40.3411, - 36.6819, - 55.673, - 80.1385, - 172.714, - 172.068, - 177.2, - 187.604, - 191.443, - 211.824, - 228.314, - 235.905, - 242.704, - 226.84, - 169.503, - 147.46, - 118.477, - 106.185, - 52.4005, - 50.5653, - 44.8634, - 39.272, - 39.0331, - 35.3188, - 36.9355, - 28.6407, - 56.9511, - 64.0667, - 100.283, - 108.994, - 113.569, - 123.17, - 131.912, - 135.384, - 102.516, - 105.33, - 107.235, - 95.356, - 49.603, - 46.9469, - 58.7117, - 48.3927, - 42.806, - 43.7419, - 37.1876, - 35.3266, - 37.1296, - 35.2908, - 33.5754, - 28.6407, - 27.5441, - 39.43, - 38.2675, - 45.2798, - 41.5173, - 48.8497, - 43.617, - 51.4193, - 46.1962, - 56.6401, - 52.1132, - 60.4468, - 50.5762, - 55.8279, - 56.1373, - 50.161, - 44.2489, - 40.4876, - 36.8065, - 43.5722, - 41.8963, - 43.0588, - 42.8575, - 39.1493, - 53.8225, - 77.2312, - 167.766, - 166.532, - 171.628, - 180.525, - 183.954, - 198.706, - 207.218, - 224.855, - 234.923, - 221.492, - 166.208, - 143.212, - 116.2, - 104.984, - 51.8005, - 45.1544, - 42.5807, - 43.6598, - 43.3088, - 41.5696, - 40.2248, - 39.1493, - 53.128, - 77.2528, - 169.719, - 169.251, - 173.099, - 182.456, - 188.343, - 208.288, - 229.42, - 239.671, - 267.364, - 248.213, - 181.888, - 168.402, - 132.791, - 117.956, - 55.6072, - 54.3442, - 50.4752, - 50.8564, - 43.314, - 44.1468, - 40.5975, - 42.4545, - 61.8237, - 83.8814, - 179.564, - 181.856, - 187.731, - 199.521, - 206.907, - 237.989, - 253.827, - 266.555, - 270.053, - 246.661, - 183.849, - 174.16, - 143.882, - 124.935, - 56.049, - 63.9428, - 52.3269, - 54.0955, - 48.7688, - 45.9853, - 48.4604, - 45.1396, - 62.8727, - 88.3991, - 185.27, - 192.319, - 204.369, - 218.967, - 224.971, - 240.286, - 237.957, - 240.219, - 244.074, - 226.79, - 166.368, - 142.611, - 122.706, - 114.208, - 55.3775, - 55.6276, - 53.8955, - 55.8114, - 50.6682, - 48.4403, - 44.376, - 40.9011, - 65.2421, - 91.8925, - 189.946, - 191.572, - 200.394, - 210.663, - 212.894, - 236.448, - 233.736, - 233.453, - 236.511, - 220.226, - 153.032, - 134.71, - 115.017, - 107.627, - 52.4706, - 52.9088, - 50.9459, - 44.8227, - 39.9164, - 38.9098, - 33.5754, - 32.7778, - 61.6482, - 65.0358, - 99.9091, - 111.456, - 120.101, - 130.216, - 135.28, - 140.58, - 104.011, - 88.5092, - 83.2487, - 73.5501, - 42.0348, - 43.4659, - 48.8099, - 45.4935, - 40.366, - 46.6843, - 40.1852, - 39.0875, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 33.7386, - 42.337, - 42.6413, - 46.089, - 46.1486, - 48.5683, - 48.7843, - 51.9728, - 53.842, - 56.9833, - 58.9695, - 58.6243, - 56.0087, - 53.9867, - 59.7684, - 55.4939, - 48.2649, - 47.0565, - 45.929, - 50.8348, - 50.7394, - 46.1121, - 43.418, - 44.6032, - 62.4982, - 85.6449, - 179.676, - 180.858, - 186.87, - 195.896, - 194.681, - 210.054, - 222.614, - 231.86, - 241.768, - 227.94, - 168.587, - 141.169, - 115.999, - 105.249, - 51.8878, - 52.8176, - 45.9322, - 45.8918, - 42.4742, - 44.1116, - 43.8686, - 39.1493, - 60.4223, - 81.9874, - 176.35, - 176.467, - 182.878, - 192.537, - 197.577, - 213.882, - 230.351, - 242.38, - 262.879, - 243.216, - 177.519, - 159.466, - 122.521, - 110.824, - 53.5261, - 54.2693, - 48.3948, - 48.0172, - 42.2829, - 42.1038, - 43.4927, - 42.023, - 58.3894, - 81.8399, - 176.384, - 177.36, - 183.556, - 198.519, - 205.436, - 227.474, - 234.088, - 243.318, - 265.212, - 239.713, - 173.032, - 158.125, - 126.418, - 115.155, - 53.1454, - 54.3226, - 43.603, - 44.5581, - 43.9224, - 43.6183, - 40.3855, - 46.0786, - 59.9735, - 85.7831, - 179.564, - 178.074, - 183.776, - 193.534, - 202.685, - 224.102, - 231.887, - 235.16, - 238.205, - 223.247, - 167.112, - 143.997, - 118.061, - 106.13, - 49.3416, - 48.2079, - 50.7191, - 42.6157, - 44.2914, - 44.1357, - 38.9362, - 43.5614, - 58.3104, - 80.0074, - 174.458, - 177.339, - 184.796, - 195.871, - 197.261, - 213.799, - 218.113, - 227.562, - 234.058, - 218.814, - 148.895, - 135.533, - 116.041, - 107.272, - 51.3315, - 44.657, - 42.9241, - 39.2029, - 33.5754, - 38.0633, - 33.5754, - 31.108, - 57.2114, - 63.6639, - 100.045, - 111.288, - 117.718, - 126.963, - 131.419, - 134.424, - 107.096, - 112.752, - 118.337, - 107.472, - 54.5663, - 53.7819, - 63.5704, - 51.5017, - 49.8785, - 40.9568, - 44.8437, - 39.4481, - 33.5754, - 38.5082, - 33.5754, - 31.108, - 26.6324, - 38.0767, - 41.3759, - 46.7934, - 45.9877, - 50.341, - 49.5434, - 53.1495, - 53.0766, - 60.5704, - 59.7, - 65.137, - 58.0635, - 62.0228, - 63.6229, - 52.0985, - 48.7609, - 48.5218, - 40.181, - 44.4068, - 44.392, - 49.7717, - 43.547, - 43.4167, - 53.6739, - 75.8674, - 166.477, - 166.347, - 170.96, - 179.656, - 187.298, - 207.784, - 213.997, - 226.449, - 235.684, - 222.381, - 159.521, - 136.712, - 108.779, - 98.1551, - 46.3624, - 47.4517, - 44.3835, - 42.5014, - 40.6603, - 44.0905, - 42.3432, - 43.2771, - 64.1893, - 87.4765, - 180.794, - 178.612, - 182.845, - 191.271, - 195.32, - 218.052, - 230.498, - 238.679, - 253.019, - 238.489, - 170.146, - 147.956, - 120.915, - 111.364, - 53.6997, - 53.7652, - 46.9082, - 46.1314, - 44.2691, - 44.1433, - 42.3492, - 43.268, - 62.7639, - 82.6671, - 174.061, - 173.19, - 179.425, - 189.626, - 193.48, - 212.039, - 219.85, - 232.32, - 242.581, - 229.13, - 170.995, - 158.034, - 128.315, - 116.175, - 54.3369, - 53.6069, - 49.9744, - 51.395, - 43.5976, - 47.8716, - 44.3114, - 45.1859, - 64.0479, - 86.0618, - 180.445, - 181.047, - 188.547, - 201.536, - 206.967, - 228.837, - 235.904, - 237.077, - 236.135, - 215.1, - 148.387, - 139.165, - 121.078, - 115.944, - 53.754, - 53.9184, - 48.6521, - 49.6297, - 51.881, - 47.4248, - 46.9379, - 53.6783, - 67.1196, - 95.1423, - 192.118, - 192.277, - 198.143, - 208.741, - 211.687, - 230.798, - 237.856, - 241.091, - 247.018, - 226.618, - 164.59, - 146.778, - 124.682, - 116.646, - 52.6861, - 55.5299, - 48.9042, - 44.359, - 40.135, - 37.9039, - 37.7682, - 35.9296, - 69.8911, - 77.8263, - 113.315, - 123.156, - 128.296, - 135.746, - 140.246, - 144.86, - 114.824, - 112.984, - 112.531, - 101.288, - 49.8924, - 53.5724, - 57.756, - 54.0432, - 50.5727, - 46.704, - 46.1319, - 43.6732, - 38.6065, - 39.5153, - 35.6108, - 37.4501, - 35.7427, - 44.4723, - 43.7064, - 47.9957, - 47.9166, - 51.403, - 50.5861, - 54.8154, - 54.425, - 59.4849, - 60.5647, - 62.6532, - 54.5317, - 58.414, - 58.5186, - 61.5682, - 47.9798, - 50.1982, - 47.7063, - 53.9013, - 50.4018, - 52.8327, - 44.7234, - 51.7647, - 66.3187, - 91.7848, - 187.27, - 189.457, - 198.423, - 211.032, - 213.815, - 234.617, - 239.317, - 241.594, - 247.38, - 231.822, - 172.243, - 158.356, - 132.854, - 123.499, - 56.4571, - 64.7135, - 52.5461, - 56.6472, - 51.6279, - 51.6924, - 49.1962, - 55.2363, - 66.8192, - 95.584, - 195.199, - 198.176, - 208.016, - 222.079, - 220.943, - 240.802, - 241.053, - 245.115, - 260.336, - 243.385, - 176.091, - 160.173, - 131.819, - 122.342, - 58.6815, - 61.4263, - 52.1459, - 54.1163, - 50.6227, - 53.0123, - 46.5274, - 52.1488, - 67.1726, - 97.4161, - 198.747, - 199.237, - 205.219, - 215.563, - 217.295, - 237.997, - 238.132, - 234.513, - 229.294, - 204.344, - 135.409, - 125.779, - 107.001, - 101.285, - 41.6166, - 45.4419, - 45.2936, - 38.9362, - 44.7869, - 38.9362, - 42.7183, - 43.5263, - 64.9357, - 86.6601, - 180.328, - 180.285, - 185.117, - 194.753, - 197.727, - 215.212, - 216.522, - 213.195, - 209.67, - 188.519, - 127.952, - 124.773, - 108.067, - 103.729, - 47.8879, - 49.6724, - 43.9867, - 44.9625, - 38.9362, - 44.9278, - 41.0689, - 45.6282, - 65.3795, - 86.7174, - 181.402, - 182.158, - 189.276, - 199.12, - 199.549, - 213.588, - 214.044, - 215.864, - 229.554, - 204.339, - 139.526, - 132.364, - 111.523, - 104.501, - 48.5745, - 48.5515, - 48.4871, - 37.4321, - 39.2103, - 33.5754, - 33.5754, - 38.6193, - 60.9236, - 62.5945, - 95.6751, - 104.134, - 108.559, - 115.898, - 120.478, - 125.006, - 94.7252, - 95.6205, - 97.6665, - 83.9081, - 45.7082, - 49.8033, - 48.2965, - 43.4417, - 41.6877, - 40.6511, - 37.0641, - 35.2597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.8134, - 35.6271, - 39.5227, - 39.6441, - 43.0601, - 42.4848, - 44.719, - 44.0115, - 47.7842, - 45.9234, - 49.3928, - 46.6474, - 46.8926, - 48.7065, - 50.2997, - 41.2534, - 38.029, - 38.477, - 36.6484, - 40.4648, - 43.3602, - 38.9362, - 38.9362, - 45.6027, - 55.2059, - 74.126, - 158.068, - 156.523, - 159.791, - 165.6, - 165.153, - 175.365, - 177.517, - 185.651, - 197.488, - 182.35, - 124.248, - 118.157, - 99.1892, - 93.6708, - 43.3983, - 42.4135, - 43.93, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.2829, - 76.0429, - 162.779, - 160.46, - 163.822, - 172.009, - 175.949, - 192.34, - 195.349, - 200.407, - 208.388, - 190.793, - 132.047, - 126.756, - 106.829, - 100.013, - 45.5902, - 40.8513, - 44.6885, - 38.9362, - 44.5805, - 38.9362, - 44.3847, - 41.6166, - 67.2318, - 87.1405, - 179.953, - 176.323, - 179.99, - 186.844, - 186.995, - 199.812, - 200.291, - 205.487, - 218.351, - 193.243, - 131.123, - 123.133, - 103.517, - 98.3167, - 43.6225, - 42.8175, - 44.6398, - 38.9362, - 44.3915, - 38.9362, - 38.9362, - 46.3771, - 61.653, - 80.1772, - 169.781, - 166.737, - 170.718, - 178.621, - 179.829, - 192.999, - 191.928, - 192.663, - 196.203, - 176.099, - 117.314, - 111.532, - 93.9735, - 88.894, - 41.6166, - 38.9362, - 41.4919, - 40.1882, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3468, - 66.268, - 151.055, - 152.752, - 159.51, - 168.482, - 170.228, - 182.46, - 184.325, - 190.338, - 199.692, - 183.803, - 125.989, - 122.513, - 101.243, - 95.6889, - 41.6166, - 44.1988, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.6804, - 53.5744, - 84.9006, - 93.6935, - 98.7111, - 106.331, - 109.715, - 112.617, - 84.1476, - 87.7816, - 92.6876, - 81.1941, - 45.728, - 51.9171, - 48.4406, - 40.5704, - 37.3085, - 35.3701, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.2141, - 34.569, - 39.1216, - 39.9232, - 43.3933, - 42.762, - 45.6268, - 45.7289, - 49.975, - 48.7901, - 54.0039, - 50.7864, - 50.8207, - 53.7933, - 49.2994, - 46.4678, - 46.6811, - 40.0557, - 38.8491, - 42.2614, - 40.5767, - 43.5412, - 38.9362, - 45.798, - 58.4186, - 77.6283, - 165.984, - 163.591, - 168.404, - 177.218, - 180.179, - 195.66, - 196.958, - 198.702, - 201.357, - 180.987, - 121.235, - 118.733, - 98.4158, - 93.2084, - 41.6166, - 44.045, - 38.9362, - 43.0121, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.8956, - 72.0158, - 155.043, - 153.28, - 157.458, - 164.742, - 168.062, - 183.153, - 186.552, - 192.223, - 200.009, - 179.88, - 118.921, - 117.475, - 97.2274, - 90.396, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.191, - 65.218, - 144.693, - 142.639, - 148.684, - 157.615, - 161.36, - 176.141, - 179.804, - 186.846, - 196.418, - 179.344, - 120.526, - 117.332, - 96.5963, - 89.8572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 43.1859, - 62.7987, - 144.703, - 145.544, - 153.793, - 162.912, - 166.476, - 181.202, - 184.302, - 190.361, - 198.962, - 181.775, - 123.003, - 119.871, - 98.6093, - 91.7976, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.5906, - 66.0527, - 146.916, - 147.268, - 154.108, - 162.22, - 163.907, - 175.631, - 177.439, - 184.156, - 194.116, - 177.51, - 119.076, - 115.478, - 94.8228, - 88.5889, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.4164, - 54.322, - 84.8721, - 90.6937, - 92.7119, - 98.6471, - 101.689, - 104.714, - 76.1689, - 78.5591, - 82.7785, - 71.8748, - 43.348, - 47.668, - 38.0057, - 38.8605, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 28.6054, - 35.6416, - 37.6241, - 40.3923, - 38.4898, - 40.7942, - 42.6306, - 46.4935, - 46.0358, - 50.7198, - 48.9622, - 49.2702, - 54.8801, - 54.0361, - 40.3988, - 38.5616, - 38.9802, - 33.5754, - 43.1845, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.796, - 71.5811, - 154.85, - 156.313, - 163.555, - 174.364, - 179.6, - 197.619, - 202.892, - 214.605, - 227.549, - 200.935, - 135.27, - 130.488, - 104.782, - 98.0332, - 43.5434, - 42.6625, - 42.228, - 40.5515, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.8837, - 75.1205, - 163.116, - 165.033, - 173.55, - 185.172, - 190.162, - 208.686, - 218.262, - 229.604, - 236.888, - 219.93, - 149.054, - 143.263, - 117.099, - 109.592, - 53.1358, - 47.151, - 47.5321, - 44.4344, - 42.2797, - 40.6172, - 42.072, - 43.1879, - 65.6485, - 80.8257, - 172.55, - 172.192, - 179.637, - 190.879, - 194.41, - 211.104, - 215.092, - 223.637, - 226.848, - 198.025, - 132.781, - 129.177, - 104.439, - 98.3105, - 45.4214, - 40.7682, - 44.1997, - 40.6239, - 42.259, - 38.9362, - 38.9362, - 41.6166, - 59.9208, - 75.2729, - 163.059, - 162.456, - 167.895, - 176.419, - 178.285, - 193.297, - 197.677, - 206.612, - 223.93, - 199.654, - 136.619, - 133.754, - 108.153, - 100.868, - 45.6362, - 40.867, - 44.5546, - 42.2175, - 40.5334, - 38.9362, - 38.9362, - 41.6166, - 56.6981, - 72.0095, - 160.365, - 161.404, - 169.072, - 180.346, - 184.657, - 201.266, - 204.642, - 214.671, - 226.751, - 199.908, - 134.31, - 131.547, - 106.28, - 99.0027, - 47.1671, - 42.5221, - 40.6585, - 38.5523, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.1872, - 59.8296, - 93.7304, - 103.044, - 110.072, - 118.312, - 121.851, - 124.789, - 94.9021, - 93.87, - 97.8319, - 86.1964, - 46.8296, - 54.9564, - 50.154, - 45.2214, - 44.1069, - 40.336, - 35.4415, - 39.0305, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6378, - 37.4826, - 43.7525, - 40.1368, - 47.5309, - 42.4114, - 49.2198, - 43.2365, - 49.214, - 43.5373, - 51.7506, - 45.2187, - 50.5429, - 55.5455, - 50.6289, - 46.6364, - 45.7194, - 45.7668, - 38.4806, - 39.2465, - 35.5526, - 37.5134, - 33.5754, - 39.4006, - 24.6627, - 40.6223, - 33.0678, - 40.9803, - 41.2902, - 48.2137, - 43.7281, - 51.6788, - 45.943, - 52.7711, - 46.2324, - 51.7696, - 41.3901, - 58.478, - 47.3869, - 47.3977, - 44.4679, - 39.991, - 37.286, - 49.923, - 44.828, - 44.6282, - 38.9362, - 47.0827, - 68.3536, - 81.0228, - 170.196, - 170.126, - 175.03, - 182.358, - 181.258, - 190.342, - 182.748, - 172.862, - 174.714, - 155.024, - 104.185, - 114.004, - 95.1747, - 93.3787, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.9698, - 82.7252, - 170.247, - 169.862, - 166.294, - 170.961, - 170.51, - 179.711, - 179.086, - 181.747, - 186.517, - 166.365, - 108.461, - 114.837, - 94.2927, - 90.8465, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1259, - 66.2948, - 142.839, - 137.14, - 137.998, - 144.772, - 147.424, - 158.352, - 157.649, - 161.553, - 168.648, - 153.012, - 98.1798, - 101.503, - 80.4461, - 77.1089, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.4571, - 59.287, - 135.191, - 133.021, - 136.841, - 146.066, - 150.061, - 161.373, - 162.71, - 168.874, - 177.571, - 161.949, - 106.734, - 111.191, - 89.1601, - 84.2606, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.4901, - 52.1672, - 81.718, - 87.1399, - 88.5327, - 93.8173, - 97.2944, - 100.286, - 72.9623, - 74.8143, - 78.2353, - 66.7027, - 38.5575, - 44.3914, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 26.625, - 38.3819, - 35.0121, - 37.3239, - 39.6494, - 42.3622, - 40.4722, - 41.9708, - 40.438, - 42.1871, - 40.2419, - 37.7698, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.665, - 63.0871, - 139.164, - 136.008, - 139.765, - 148.789, - 151.506, - 162.108, - 162.81, - 167.866, - 175.352, - 159.845, - 107.686, - 110.156, - 88.84, - 84.7485, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.57, - 62.1903, - 139.665, - 137.439, - 146.16, - 155.907, - 157.758, - 168.679, - 168.941, - 173.155, - 179.648, - 161.908, - 107.204, - 108.056, - 87.2314, - 85.0369, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5635, - 65.1211, - 144.1, - 143.306, - 152.966, - 163.04, - 165.568, - 177.386, - 178.086, - 180.615, - 187.087, - 168.082, - 111.046, - 113.324, - 91.73, - 88.5886, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.5353, - 70.5196, - 147.227, - 142.922, - 146.641, - 152.098, - 150.493, - 157.365, - 155.751, - 156.37, - 159.539, - 144.029, - 94.0475, - 99.2877, - 80.8626, - 78.383, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.9237, - 61.218, - 136.811, - 134.204, - 137.861, - 145.77, - 148.382, - 158.182, - 158.236, - 162.519, - 169.28, - 154.223, - 103.192, - 108.057, - 89.074, - 86.7985, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.0167, - 48.8811, - 79.475, - 87.0995, - 91.2371, - 97.4566, - 99.244, - 99.8961, - 70.9309, - 71.3896, - 73.1924, - 62.9195, - 41.6023, - 41.5555, - 39.0719, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 25.9684, - 38.6545, - 36.9889, - 42.3147, - 36.8098, - 43.4019, - 38.8707, - 44.1575, - 38.8925, - 43.8659, - 38.457, - 38.1652, - 36.8256, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.8754, - 55.6984, - 128.385, - 124.736, - 128.6, - 136.345, - 139.696, - 149.996, - 150.244, - 154.838, - 162.169, - 144.885, - 94.5631, - 95.4062, - 74.8387, - 70.3809, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.3533, - 59.3704, - 131.8, - 126.771, - 129.179, - 136.653, - 140.074, - 150.223, - 149.306, - 151.38, - 155.952, - 137.874, - 89.496, - 91.2082, - 71.6088, - 68.2371, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.5145, - 59.8162, - 132.202, - 128.148, - 131.643, - 138.954, - 141.423, - 150.454, - 149.405, - 152.197, - 157.582, - 141.112, - 93.2033, - 95.7944, - 75.591, - 70.908, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1675, - 57.1151, - 127.558, - 126.84, - 135.297, - 146.677, - 150.604, - 160.103, - 159.802, - 165.264, - 173.031, - 156.734, - 104.788, - 103.796, - 81.2013, - 74.7141, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.5157, - 57.0065, - 130.553, - 130.625, - 140.224, - 152.92, - 156.757, - 169.636, - 171.111, - 176.9, - 184.412, - 165.89, - 111.399, - 110.72, - 88.5851, - 83.127, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.8516, - 46.551, - 77.7673, - 88.5776, - 95.4283, - 103.711, - 107.776, - 110.921, - 83.5935, - 85.1069, - 87.178, - 73.1912, - 45.0885, - 42.4597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.091, - 37.3983, - 38.5478, - 42.3876, - 42.2935, - 47.2746, - 47.0837, - 51.2853, - 48.4349, - 52.3097, - 48.0048, - 55.4498, - 48.6646, - 42.501, - 41.1212, - 33.5754, - 38.2862, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.0797, - 69.4193, - 154.601, - 158.198, - 166.803, - 177.072, - 179.255, - 191.397, - 194.168, - 199.488, - 205.296, - 183.341, - 126.491, - 120.901, - 95.9272, - 89.8583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.0303, - 69.7739, - 156.544, - 160.504, - 170.77, - 183.428, - 186.023, - 198.74, - 200.959, - 205.846, - 211.247, - 188.342, - 130.249, - 123.783, - 98.4286, - 92.2971, - 41.6166, - 41.9734, - 40.4334, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.6208, - 72.7506, - 158.489, - 161.143, - 169.413, - 180.156, - 183.308, - 198.43, - 202.572, - 207.821, - 212.641, - 188.503, - 129.776, - 123.196, - 99.019, - 94.6956, - 41.6166, - 44.3273, - 38.9362, - 43.928, - 38.9362, - 38.9362, - 38.9362, - 45.8383, - 64.596, - 74.8103, - 164.623, - 166.015, - 174.575, - 185.642, - 187.617, - 200.787, - 203.993, - 208.983, - 213.441, - 189.872, - 131.372, - 125.1, - 100.244, - 94.901, - 41.6166, - 44.2148, - 40.6097, - 42.2053, - 38.9362, - 38.9362, - 43.3734, - 41.6166, - 65.2067, - 73.6573, - 160.988, - 164.809, - 174.434, - 185.759, - 187.449, - 200.404, - 203.903, - 209.014, - 213.488, - 189.745, - 131.276, - 125.102, - 101.175, - 97.414, - 45.4534, - 42.5778, - 42.3984, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 62.744, - 55.0407, - 86.9558, - 97.5896, - 105.833, - 115.434, - 120.585, - 125.116, - 95.9509, - 96.7417, - 97.4233, - 81.6346, - 48.8256, - 47.5436, - 40.3227, - 39.64, - 37.4331, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 20.1719, - 25.6276, - 31.9693, - 31.5822, - 38.7868, - 37.4961, - 44.2482, - 39.8036, - 45.5593, - 40.3097, - 45.3344, - 43.6775, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.1518, - 56.9907, - 131.012, - 131.421, - 140.852, - 152.365, - 155.988, - 167.422, - 168.094, - 172.533, - 178.454, - 159.371, - 111.394, - 107.065, - 85.1635, - 80.5454, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.7241, - 60.577, - 135.704, - 135.434, - 144.362, - 154.924, - 158.367, - 170.544, - 172.569, - 177.51, - 183.482, - 165.165, - 117.313, - 113.553, - 91.7146, - 87.583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4419, - 64.3307, - 141.258, - 139.92, - 148.044, - 159.333, - 162.077, - 176.676, - 179.003, - 181.664, - 185.123, - 163.77, - 116.48, - 113.412, - 90.9948, - 85.6355, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.746, - 63.9027, - 140.09, - 140.719, - 150.38, - 160.583, - 163.43, - 175.717, - 176.27, - 177.369, - 178.099, - 158.408, - 115.173, - 112.87, - 91.4517, - 87.768, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.237, - 66.1249, - 143.366, - 141.158, - 150.097, - 159.625, - 160.392, - 168.968, - 166.219, - 166.629, - 169.473, - 151.276, - 108.295, - 106.343, - 87.0961, - 85.4366, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 49.864, - 43.4675, - 71.7492, - 78.8618, - 82.3028, - 88.4725, - 90.9685, - 90.932, - 62.229, - 61.0073, - 59.4274, - 49.1988, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 19.7076, - 31.681, - 32.2047, - 38.485, - 33.0662, - 42.1867, - 38.2387, - 43.4806, - 38.7768, - 36.7903, - 38.5198, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 25.3508, - 30.7673, - 32.7306, - 37.465, - 39.8992, - 39.5392, - 41.9212, - 40.9873, - 43.1902, - 41.2366, - 44.3939, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.618, - 59.0242, - 131.871, - 130.507, - 137.836, - 148.637, - 152.657, - 164.27, - 164.987, - 168.923, - 173.96, - 154.434, - 110.14, - 103.105, - 80.8263, - 75.5258, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.6475, - 57.9848, - 130.439, - 130.532, - 139.786, - 151.225, - 155.046, - 166.251, - 165.839, - 168.663, - 172.689, - 153.03, - 109.24, - 102.682, - 80.4704, - 74.822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.7852, - 57.5463, - 129.876, - 129.889, - 139.373, - 150.596, - 152.793, - 162.025, - 162.733, - 167.264, - 172.652, - 153.462, - 109.037, - 101.341, - 78.8495, - 72.9751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4737, - 57.6887, - 129.707, - 129.516, - 139.048, - 151.044, - 155.496, - 167.615, - 168.156, - 172.089, - 177.028, - 155.951, - 109.521, - 100.77, - 78.4132, - 73.6942, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.7376, - 42.6857, - 70.6339, - 78.9823, - 85.3168, - 94.3621, - 98.9358, - 102.21, - 75.0765, - 74.8579, - 75.4814, - 61.6135, - 35.9619, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 22.072, - 30.1981, - 32.2823, - 39.2714, - 42.8957, - 43.5271, - 46.8531, - 44.6373, - 46.7388, - 43.4056, - 39.6717, - 37.0879, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4657, - 58.0903, - 130.288, - 131.094, - 141.773, - 153.251, - 157.664, - 169.745, - 170.106, - 172.848, - 176.149, - 155.205, - 113.493, - 105.281, - 83.841, - 78.8944, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.6625, - 59.6821, - 133.043, - 133.997, - 143.227, - 154.334, - 157.757, - 169.02, - 169.426, - 172.232, - 175.548, - 154.298, - 112.567, - 103.536, - 82.148, - 77.8491, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5019, - 58.6716, - 131.694, - 131.901, - 140.553, - 152.198, - 156.305, - 167.252, - 164.674, - 165.536, - 169.221, - 151.37, - 113.424, - 106.892, - 86.4543, - 82.5826, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1658, - 59.8721, - 133.158, - 132.57, - 140.109, - 144.527, - 142.785, - 150.76, - 148.048, - 144.695, - 145.241, - 129.063, - 98.8065, - 92.9612, - 74.7919, - 72.4367, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.2639, - 57.5446, - 126.422, - 124.585, - 129.688, - 137.296, - 139.939, - 147.115, - 145.831, - 148.947, - 154.322, - 137.01, - 103.331, - 98.2235, - 80.1669, - 77.3086, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.973, - 40.9816, - 67.1259, - 73.9024, - 77.0225, - 82.8645, - 84.5272, - 84.4294, - 56.5795, - 55.1059, - 55.1031, - 45.2891, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 22.1999, - 34.5369, - 35.7034, - 38.084, - 37.2527, - 38.9203, - 36.7207, - 32.2255, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.261, - 59.7283, - 128.766, - 125.528, - 129.904, - 139.063, - 145.432, - 153.708, - 152.691, - 156.546, - 158.002, - 139.534, - 102.772, - 94.8214, - 74.8327, - 71.2853, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.941, - 57.8949, - 127.911, - 125.33, - 132.007, - 142.564, - 148.849, - 159.8, - 159.5, - 162.58, - 166.638, - 148.998, - 106.662, - 97.9036, - 76.9297, - 72.6832, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4554, - 58.0579, - 128.346, - 126.164, - 132.655, - 143.22, - 148.846, - 159.463, - 159.633, - 163.557, - 168.312, - 150.523, - 107.713, - 98.2841, - 76.9482, - 72.654, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.3871, - 56.1121, - 126.516, - 124.749, - 131.16, - 141.454, - 147.396, - 158.539, - 158.873, - 163.271, - 168.513, - 150.666, - 108.289, - 99.2357, - 79.244, - 76.2735, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.0569, - 58.4865, - 128.073, - 122.333, - 124.24, - 132.6, - 135.863, - 140.863, - 138.111, - 140.216, - 144.094, - 130.291, - 98.0194, - 92.6835, - 73.5902, - 70.0135, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 55.4484, - 47.5295, - 68.7474, - 67.4079, - 66.2252, - 70.5351, - 72.19, - 71.8777, - 44.8802, - 44.9103, - 46.7946, - 43.7703, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.5183, - 29.1952, - 32.8783, - 34.2161, - 29.8992, - 19.8317, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4217, - 73.522, - 127.884, - 117.287, - 117.576, - 122.589, - 125.968, - 132.455, - 131.446, - 132.993, - 137.568, - 124.207, - 91.2675, - 84.896, - 69.4161, - 68.7621, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.0101, - 74.7318, - 127.005, - 116.056, - 116.843, - 123.564, - 128.612, - 136.509, - 136.04, - 137.897, - 143.255, - 127.995, - 92.827, - 84.9527, - 68.3781, - 68.2545, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.7985, - 73.2803, - 125.888, - 116.456, - 119.148, - 126.412, - 131.549, - 139.786, - 138.742, - 140.214, - 144.989, - 129.032, - 93.9899, - 86.9202, - 69.1429, - 67.8901, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1745, - 72.2689, - 125.993, - 119.515, - 122.365, - 130.581, - 136.978, - 147.005, - 146.223, - 147.983, - 152.423, - 136.822, - 97.0388, - 89.0893, - 70.572, - 68.6685, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.2383, - 71.4699, - 125.446, - 118.764, - 122.007, - 130.454, - 136.884, - 146.98, - 147.343, - 150.733, - 156.2, - 141.69, - 100.288, - 90.6473, - 71.6186, - 69.2678, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 59.944, - 52.4924, - 67.7256, - 73.4819, - 78.8701, - 86.7889, - 88.8722, - 89.3524, - 60.3137, - 57.9955, - 58.1322, - 54.376, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 22.4707, - 30.1791, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.3097, - 76.8391, - 127.519, - 117.105, - 115.904, - 119.458, - 120.974, - 123.411, - 119.801, - 120.157, - 127.008, - 124.462, - 88.589, - 86.3852, - 74.6652, - 77.0008, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.1916, - 72.6312, - 127.732, - 119.847, - 120.59, - 125.347, - 127.831, - 131.89, - 128.78, - 129.346, - 133.731, - 128.183, - 87.2977, - 85.2861, - 71.8305, - 72.3397, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8689, - 69.3066, - 127.32, - 119.222, - 120.973, - 126.755, - 130.442, - 134.389, - 129.566, - 128.909, - 132.177, - 125.93, - 84.5782, - 82.3879, - 70.5891, - 73.0204, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0021, - 76.3913, - 129.366, - 118.233, - 116.262, - 120.445, - 121.943, - 124.101, - 119.786, - 119.559, - 125.478, - 121.735, - 85.9076, - 85.7267, - 76.2722, - 80.4814, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.0597, - 82.4842, - 130.491, - 118.391, - 116.901, - 121.006, - 123.657, - 126.46, - 122.166, - 121.846, - 127.62, - 125.255, - 88.2466, - 86.7746, - 76.9748, - 79.3884, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 25.5477, - 31.0823, - 32.2828, - 32.9553, - 22.6726, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.5535, - 95.2738, - 133.538, - 120.15, - 119.917, - 124.632, - 128.682, - 132.156, - 127.853, - 128.219, - 136.58, - 130.147, - 92.3651, - 88.6475, - 76.4276, - 79.7062, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 83.5307, - 79.5097, - 129.444, - 118.909, - 119.739, - 126.674, - 132.345, - 138.108, - 134.918, - 135.191, - 140.948, - 131.72, - 89.7184, - 86.8222, - 72.4053, - 72.2565, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.4481, - 72.4592, - 126.736, - 119.181, - 121.675, - 130.656, - 138.5, - 145.204, - 141.087, - 140.645, - 143.673, - 132.354, - 88.1632, - 83.9694, - 69.513, - 70.7331, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.5094, - 66.6109, - 126.391, - 120.227, - 123.41, - 131.874, - 138.316, - 144.478, - 142.469, - 144.328, - 148.87, - 135.078, - 89.1987, - 83.6869, - 68.8725, - 68.9389, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.0378, - 63.4393, - 127.618, - 121.761, - 125.02, - 134.294, - 141.91, - 148.676, - 144.747, - 145.058, - 151.587, - 142.21, - 98.739, - 94.2967, - 78.1007, - 76.5967, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 47.3187, - 45.2722, - 73.0921, - 80.1723, - 84.3367, - 90.0762, - 91.7398, - 88.3912, - 54.836, - 50.1135, - 55.9587, - 57.184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 19.4289, - 21.0097, - 24.4215, - 22.5192, - 19.7415, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.738, - 102.669, - 137.856, - 123.972, - 119.339, - 119.665, - 119.876, - 117.818, - 113.257, - 113.242, - 124.573, - 125.817, - 96.8943, - 99.7664, - 91.3891, - 93.7498, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 110.961, - 98.6396, - 136.274, - 122.907, - 119.332, - 120.349, - 122.97, - 122.675, - 118.809, - 118.838, - 127.342, - 122.209, - 89.8272, - 90.54, - 81.0463, - 82.6037, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 94.1034, - 94.1191, - 135.581, - 121.537, - 121.171, - 125.717, - 129.551, - 132.837, - 128.915, - 129.117, - 138.826, - 134.495, - 97.3665, - 93.6614, - 78.8909, - 77.8295, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 19.8353, - 23.0273, - 27.8795, - 26.8059, - 21.6979, - 21.4008, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.8133, - 84.2545, - 130.528, - 119.266, - 119.426, - 125.485, - 130.36, - 135.432, - 133.502, - 135.116, - 139.853, - 129.065, - 87.4191, - 84.5519, - 72.8685, - 75.5988, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 83.19, - 61.5623, - 73.6895, - 74.9336, - 77.3297, - 84.5303, - 87.6446, - 86.1237, - 58.5974, - 56.6508, - 61.8021, - 61.2189, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 24.7126, - 33.4857, - 36.9278, - 36.2823, - 37.83, - 27.1587, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.6088, - 71.1527, - 130.146, - 123.84, - 124.117, - 128.541, - 131.09, - 134.404, - 130.221, - 130.22, - 138.862, - 131.599, - 91.947, - 88.8011, - 74.8077, - 75.0668, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 70.2025, - 73.4774, - 126.911, - 119.793, - 122.315, - 128.879, - 134.0, - 140.083, - 135.93, - 135.048, - 139.393, - 129.255, - 86.6583, - 83.4962, - 70.0841, - 72.3256, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.6817, - 73.7693, - 128.025, - 117.855, - 118.755, - 124.472, - 127.666, - 132.636, - 129.515, - 129.878, - 137.304, - 129.34, - 88.1288, - 85.5871, - 71.2613, - 71.0198, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8549, - 75.6644, - 127.325, - 119.991, - 121.515, - 128.255, - 132.946, - 137.602, - 132.644, - 131.563, - 138.128, - 129.321, - 87.5697, - 84.1435, - 70.062, - 72.2373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.044, - 94.4318, - 135.886, - 121.886, - 118.155, - 119.194, - 118.73, - 116.641, - 112.647, - 112.623, - 123.708, - 123.555, - 93.4249, - 96.4195, - 88.614, - 91.4584, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.462, - 96.0492, - 93.8856, - 88.2251, - 84.7254, - 84.5289, - 80.8154, - 72.9443, - 47.6319, - 47.4507, - 61.843, - 76.1884, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.7678, - 39.7392, - 34.0452, - 22.7394, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5481, - 42.708, - 43.4169, - 45.7942, - 45.0442, - 47.5569, - 48.6458, - 174.849, - 153.449, - 177.673, - 147.391, - 138.446, - 135.236, - 134.479, - 124.883, - 117.458, - 116.642, - 128.417, - 131.807, - 103.501, - 105.083, - 100.197, - 104.543, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.716, - 130.147, - 163.64, - 144.764, - 136.399, - 132.799, - 135.096, - 126.625, - 119.956, - 122.185, - 135.585, - 136.796, - 107.765, - 107.344, - 98.3049, - 100.079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.637, - 106.366, - 139.745, - 124.877, - 119.956, - 120.732, - 121.123, - 117.948, - 113.594, - 113.361, - 125.578, - 126.851, - 96.1341, - 98.1574, - 88.7883, - 91.4962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.558, - 103.439, - 139.968, - 125.361, - 123.454, - 125.02, - 126.631, - 123.953, - 119.157, - 114.755, - 127.02, - 129.035, - 100.066, - 101.623, - 92.802, - 94.2563, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 107.704, - 93.0854, - 132.757, - 122.881, - 122.966, - 126.735, - 128.363, - 128.619, - 123.695, - 123.212, - 132.763, - 130.237, - 93.9888, - 89.7531, - 79.1855, - 80.1595, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 101.81, - 76.0559, - 81.1263, - 78.6627, - 76.5332, - 80.1479, - 78.5346, - 73.1357, - 50.0273, - 48.8097, - 59.8428, - 68.167, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.204, - 106.47, - 139.239, - 123.677, - 120.589, - 125.521, - 128.534, - 128.732, - 125.019, - 124.147, - 131.156, - 123.959, - 90.0218, - 91.3038, - 82.2888, - 84.6191, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.387, - 90.1349, - 131.867, - 119.908, - 120.33, - 125.113, - 127.775, - 130.39, - 126.099, - 124.502, - 131.187, - 122.747, - 86.2379, - 87.0879, - 77.8866, - 80.6119, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.5569, - 86.5121, - 130.962, - 118.795, - 118.531, - 125.894, - 129.412, - 131.609, - 128.061, - 126.2, - 130.611, - 122.206, - 85.1413, - 85.4294, - 75.5376, - 78.2451, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.6874, - 81.2401, - 129.486, - 118.235, - 118.087, - 122.448, - 125.24, - 130.742, - 129.072, - 127.44, - 131.252, - 122.608, - 85.2104, - 85.6105, - 75.2164, - 78.2822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.5747, - 83.2297, - 130.295, - 118.614, - 117.865, - 124.541, - 127.485, - 130.294, - 126.595, - 124.66, - 128.393, - 119.99, - 86.4495, - 87.4627, - 77.4643, - 80.062, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 105.852, - 77.818, - 82.059, - 78.4418, - 77.7328, - 80.9114, - 79.8116, - 74.8111, - 47.9128, - 49.0545, - 58.6146, - 65.9774, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.4555, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 148.924, - 123.952, - 150.773, - 131.07, - 124.398, - 126.319, - 131.069, - 129.249, - 125.114, - 124.165, - 130.74, - 125.235, - 94.2605, - 97.233, - 89.9435, - 93.3676, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 123.639, - 104.539, - 138.192, - 122.768, - 118.668, - 122.101, - 125.639, - 125.343, - 122.298, - 121.629, - 126.607, - 123.003, - 92.3402, - 93.3157, - 85.1471, - 88.1028, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.703, - 96.0474, - 134.96, - 121.46, - 117.841, - 122.672, - 125.775, - 127.79, - 125.056, - 123.622, - 128.198, - 121.315, - 87.6117, - 87.8139, - 78.0084, - 80.9289, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.3591, - 83.8439, - 129.812, - 120.692, - 122.24, - 126.446, - 128.608, - 132.154, - 128.418, - 124.915, - 127.402, - 119.953, - 86.341, - 87.2747, - 77.3827, - 80.8281, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.5284, - 84.3464, - 130.461, - 118.571, - 115.153, - 116.781, - 117.17, - 116.399, - 112.798, - 112.438, - 123.218, - 122.469, - 90.8552, - 93.1162, - 85.1782, - 87.3454, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 124.867, - 95.2851, - 93.4602, - 87.425, - 83.6816, - 84.3425, - 80.4443, - 73.4037, - 48.2827, - 47.9516, - 62.6055, - 77.8954, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.3025, - 37.793, - 22.5549, - 20.2539, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3076, - 39.4666, - 39.2393, - 41.3982, - 40.3823, - 43.4003, - 39.4045, - 26.4328, - 22.573, - 22.1928, - 19.3614, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3223, - 41.8002, - 44.4458, - 43.941, - 46.0452, - 45.2631, - 50.5404, - 184.05, - 157.579, - 182.405, - 158.086, - 147.42, - 146.227, - 151.141, - 141.751, - 136.294, - 134.65, - 145.47, - 147.7, - 120.624, - 119.31, - 112.66, - 115.181, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 139.677, - 124.682, - 152.342, - 129.812, - 123.939, - 126.263, - 128.578, - 124.587, - 119.881, - 118.743, - 128.723, - 128.17, - 96.8937, - 96.6911, - 88.0193, - 89.5504, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.2845, - 96.3731, - 143.728, - 133.032, - 131.387, - 128.042, - 125.128, - 123.904, - 120.515, - 121.101, - 132.352, - 132.045, - 101.204, - 100.116, - 90.1973, - 90.926, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.381, - 92.1395, - 134.191, - 127.398, - 124.534, - 121.291, - 121.403, - 119.586, - 113.14, - 113.142, - 124.211, - 124.255, - 93.5647, - 95.4133, - 86.2237, - 87.6581, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 115.837, - 86.2428, - 87.0184, - 83.278, - 81.0576, - 82.4189, - 78.4133, - 71.2169, - 51.699, - 52.4791, - 64.6016, - 75.8291, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754 - ], - "load_annual_total": 726208.0, - "load_escalation": [ - 0.0 - ], - "load_model": 1.0, - "load_user_data": [ - 65.6374, - 62.5244, - 75.1593, - 66.7696, - 78.4194, - 69.7381, - 79.1304, - 50.4748, - 50.3969, - 37.7489, - 37.1335, - 28.3751, - 27.4458, - 23.7839, - 21.3821, - 20.961, - 26.3378, - 42.4988, - 44.2319, - 50.8582, - 50.5752, - 57.2174, - 54.77, - 62.5655, - 62.5466, - 69.0273, - 64.4617, - 71.8981, - 68.3173, - 74.5008, - 234.676, - 217.896, - 230.172, - 191.794, - 172.779, - 165.046, - 163.967, - 149.935, - 141.272, - 139.715, - 147.919, - 155.912, - 130.833, - 132.272, - 128.764, - 130.137, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 163.928, - 142.125, - 168.956, - 147.914, - 139.89, - 136.938, - 140.046, - 132.461, - 127.632, - 127.446, - 136.442, - 141.771, - 114.75, - 114.797, - 108.864, - 112.226, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.076, - 124.442, - 158.465, - 139.534, - 130.405, - 127.095, - 126.792, - 121.982, - 117.195, - 117.09, - 126.775, - 131.461, - 102.11, - 102.849, - 94.7595, - 97.4781, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.517, - 110.213, - 150.037, - 131.9, - 122.468, - 122.902, - 124.274, - 124.874, - 120.462, - 119.828, - 127.824, - 134.513, - 105.677, - 104.267, - 94.6319, - 95.9547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 126.096, - 107.02, - 140.049, - 124.387, - 120.537, - 122.295, - 122.559, - 119.695, - 115.207, - 114.45, - 121.73, - 125.166, - 95.4737, - 98.0856, - 89.4985, - 91.2355, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.974, - 100.036, - 99.832, - 90.0105, - 85.6978, - 85.3829, - 82.6116, - 75.2912, - 50.1919, - 50.7114, - 57.5579, - 74.0381, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 157.675, - 130.223, - 152.852, - 132.786, - 126.285, - 125.564, - 129.219, - 125.691, - 121.073, - 120.048, - 124.656, - 126.2, - 96.4566, - 98.3456, - 91.5109, - 95.96, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.52, - 115.363, - 149.152, - 129.205, - 121.57, - 121.96, - 125.404, - 124.593, - 120.611, - 119.448, - 124.295, - 123.945, - 92.6343, - 94.9597, - 87.2084, - 89.9637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.737, - 94.7459, - 132.714, - 123.344, - 123.674, - 126.724, - 127.939, - 127.712, - 121.745, - 118.511, - 122.133, - 122.241, - 90.8912, - 92.1783, - 84.1639, - 86.7476, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 118.927, - 105.466, - 139.501, - 122.954, - 118.424, - 119.174, - 119.868, - 118.334, - 114.487, - 113.276, - 118.782, - 124.638, - 94.6371, - 97.2347, - 88.9331, - 91.8463, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 128.102, - 112.693, - 148.291, - 127.728, - 121.797, - 122.218, - 122.967, - 121.95, - 118.389, - 118.561, - 121.841, - 123.79, - 93.4902, - 96.5931, - 89.4855, - 92.9568, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 134.508, - 98.5746, - 96.21, - 89.2509, - 85.5446, - 85.8898, - 84.1672, - 78.4454, - 52.9495, - 51.6784, - 55.8877, - 71.3139, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 147.559, - 131.93, - 163.137, - 146.109, - 138.832, - 137.181, - 141.728, - 134.655, - 127.192, - 126.152, - 132.177, - 141.752, - 114.362, - 113.585, - 106.758, - 109.238, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.179, - 112.448, - 143.62, - 128.368, - 124.368, - 125.725, - 127.368, - 122.678, - 116.183, - 115.887, - 122.147, - 129.775, - 101.286, - 103.536, - 96.5743, - 99.8303, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.58, - 111.15, - 143.304, - 127.538, - 122.19, - 123.156, - 124.548, - 120.996, - 115.086, - 114.839, - 120.735, - 126.89, - 97.3894, - 99.7454, - 92.5019, - 96.1164, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 144.45, - 129.516, - 151.284, - 131.085, - 126.278, - 125.197, - 125.161, - 120.774, - 114.79, - 114.411, - 120.586, - 127.896, - 99.4435, - 102.658, - 95.887, - 99.5242, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 145.87, - 117.274, - 109.549, - 98.5057, - 91.9249, - 91.0462, - 85.4779, - 79.4584, - 56.5764, - 55.8243, - 60.2569, - 79.6187, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5655, - 20.9088, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 41.7921, - 43.4777, - 45.2287, - 47.5001, - 172.999, - 141.927, - 162.336, - 139.992, - 131.967, - 130.293, - 132.978, - 128.174, - 122.601, - 122.073, - 122.983, - 127.575, - 98.1835, - 101.311, - 94.9811, - 98.5038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 135.61, - 111.334, - 140.777, - 125.304, - 119.919, - 122.722, - 126.224, - 126.482, - 121.984, - 120.772, - 120.758, - 122.099, - 90.8145, - 93.2282, - 85.5756, - 87.9259, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 130.189, - 116.199, - 146.624, - 127.765, - 119.847, - 120.588, - 123.609, - 123.747, - 120.589, - 119.739, - 119.921, - 122.218, - 91.1469, - 93.502, - 86.4831, - 89.4501, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 122.168, - 105.558, - 139.074, - 122.528, - 118.052, - 119.799, - 122.725, - 124.003, - 120.995, - 121.575, - 120.365, - 121.677, - 89.1019, - 90.3988, - 82.4726, - 85.6087, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.553, - 99.4828, - 142.845, - 126.185, - 120.437, - 119.817, - 120.242, - 121.363, - 117.417, - 118.02, - 118.961, - 123.149, - 90.2986, - 92.1996, - 83.8984, - 86.4467, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 109.401, - 84.4718, - 94.076, - 88.0738, - 80.7528, - 83.2127, - 82.3072, - 77.4494, - 52.4732, - 52.6818, - 51.4629, - 66.9579, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.1874, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 103.701, - 89.6664, - 131.359, - 120.056, - 118.578, - 121.705, - 123.177, - 124.276, - 120.591, - 120.166, - 120.769, - 121.954, - 86.7076, - 88.5998, - 79.3628, - 82.0893, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.4304, - 94.0663, - 140.365, - 121.417, - 116.782, - 118.719, - 120.955, - 122.154, - 119.54, - 118.523, - 118.45, - 121.761, - 88.234, - 89.043, - 81.3983, - 83.9472, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 112.606, - 96.9766, - 135.261, - 121.816, - 117.521, - 119.454, - 122.226, - 122.842, - 119.272, - 117.702, - 119.457, - 119.407, - 88.74, - 90.1967, - 81.9256, - 84.2286, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.974, - 99.5702, - 136.224, - 122.069, - 117.45, - 121.217, - 123.712, - 123.718, - 119.188, - 118.688, - 120.167, - 119.499, - 89.2523, - 90.2419, - 81.1791, - 85.0077, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.853, - 92.1261, - 133.285, - 120.232, - 117.332, - 123.502, - 128.51, - 132.09, - 128.274, - 126.301, - 126.843, - 124.682, - 88.5132, - 86.9869, - 77.7439, - 81.0848, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 91.5629, - 78.622, - 92.9278, - 91.5897, - 87.6784, - 87.8362, - 85.3483, - 82.296, - 64.1723, - 66.6132, - 68.2239, - 80.7772, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 149.261, - 123.809, - 147.564, - 128.823, - 122.579, - 125.586, - 128.886, - 124.836, - 120.228, - 119.962, - 121.28, - 121.551, - 90.2391, - 90.854, - 82.0314, - 85.2273, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.751, - 92.1889, - 131.301, - 121.325, - 124.155, - 131.137, - 134.179, - 137.996, - 134.567, - 133.442, - 133.533, - 128.937, - 90.1826, - 88.5796, - 76.377, - 76.5461, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1058, - 68.9968, - 130.897, - 125.398, - 125.853, - 129.808, - 132.809, - 137.547, - 131.545, - 129.309, - 129.218, - 127.428, - 89.0817, - 85.0062, - 70.6225, - 70.7933, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.2074, - 69.7315, - 126.489, - 119.797, - 123.214, - 129.632, - 130.027, - 128.089, - 121.849, - 121.219, - 122.95, - 117.617, - 84.5629, - 86.6192, - 78.6097, - 81.9264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 98.7741, - 89.931, - 134.027, - 121.379, - 117.417, - 118.573, - 118.658, - 116.505, - 112.105, - 112.11, - 115.22, - 118.809, - 91.3067, - 93.6335, - 85.9244, - 88.7878, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 120.459, - 99.6859, - 104.526, - 94.9952, - 85.6986, - 85.5286, - 82.0141, - 75.6155, - 49.6814, - 49.085, - 50.8381, - 69.4183, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.4371, - 41.0401, - 40.9483, - 38.1295, - 23.7508, - 20.2999, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 44.2703, - 43.7942, - 46.1776, - 47.9632, - 173.422, - 145.755, - 164.054, - 142.812, - 131.482, - 129.958, - 134.414, - 129.863, - 124.955, - 125.085, - 124.736, - 122.557, - 93.7905, - 96.9914, - 90.1429, - 94.0503, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.515, - 99.2944, - 134.339, - 121.258, - 122.881, - 131.254, - 135.374, - 137.977, - 135.063, - 134.647, - 131.529, - 124.041, - 87.7284, - 85.0509, - 72.6978, - 74.8696, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 81.2074, - 82.4488, - 130.369, - 117.397, - 114.228, - 118.597, - 122.657, - 127.271, - 125.734, - 126.648, - 127.612, - 121.029, - 84.843, - 82.3218, - 70.757, - 72.6708, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.2832, - 77.8289, - 131.034, - 119.115, - 120.381, - 123.198, - 122.685, - 126.631, - 125.8, - 125.527, - 126.347, - 122.171, - 87.7384, - 85.7473, - 72.2303, - 73.0312, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.1811, - 69.3571, - 129.61, - 123.291, - 125.708, - 135.655, - 141.792, - 145.267, - 139.921, - 140.845, - 142.165, - 133.427, - 94.2794, - 87.8435, - 70.1639, - 69.64, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 68.789, - 62.8391, - 76.5092, - 70.8421, - 72.5226, - 80.6939, - 89.3684, - 89.9595, - 58.6703, - 56.3079, - 54.2341, - 53.9706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.5829, - 29.4769, - 29.4311, - 25.239, - 28.1271, - 29.5355, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.3406, - 21.0933, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 85.0074, - 81.8724, - 128.434, - 119.469, - 117.72, - 120.887, - 122.664, - 124.63, - 119.242, - 118.264, - 120.064, - 114.243, - 84.6477, - 85.9791, - 76.7819, - 79.5819, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.574, - 91.18, - 133.428, - 120.105, - 116.96, - 120.703, - 122.511, - 124.757, - 121.607, - 121.502, - 125.737, - 117.521, - 83.5195, - 83.6921, - 74.9305, - 78.3314, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 88.3255, - 83.8613, - 130.367, - 118.412, - 118.386, - 124.314, - 128.832, - 134.719, - 131.073, - 131.513, - 134.676, - 124.996, - 88.1483, - 82.9673, - 69.6922, - 72.431, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.6865, - 78.7972, - 128.897, - 119.916, - 123.694, - 130.92, - 132.223, - 138.328, - 134.88, - 134.717, - 139.558, - 129.299, - 91.851, - 89.2706, - 74.6701, - 73.6442, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.5923, - 50.8136, - 70.4639, - 75.6751, - 77.8559, - 83.5333, - 83.3455, - 79.8957, - 50.416, - 47.6804, - 45.3121, - 44.5892, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.8954, - 20.4926, - 21.1161, - 20.7317, - 22.5712, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.9661, - 78.4209, - 128.068, - 120.228, - 122.232, - 128.351, - 133.361, - 137.966, - 135.023, - 136.043, - 137.51, - 127.135, - 89.708, - 85.6968, - 69.9352, - 69.2689, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8279, - 68.543, - 128.276, - 123.124, - 127.45, - 137.01, - 143.12, - 149.938, - 148.811, - 153.625, - 155.723, - 142.307, - 101.58, - 94.3632, - 77.483, - 74.4637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.9313, - 72.8519, - 125.761, - 116.131, - 115.616, - 118.396, - 121.175, - 124.148, - 120.239, - 119.952, - 120.909, - 113.931, - 83.5578, - 83.2938, - 71.9689, - 74.3505, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.305, - 78.6787, - 128.767, - 117.487, - 119.011, - 124.25, - 125.161, - 128.011, - 122.36, - 120.84, - 123.713, - 117.172, - 82.9132, - 82.5355, - 72.2463, - 75.3409, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0567, - 86.869, - 135.769, - 121.407, - 118.063, - 120.168, - 120.839, - 121.408, - 116.83, - 116.419, - 119.312, - 117.314, - 89.3496, - 88.8048, - 78.5845, - 80.3799, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 80.9004, - 68.1961, - 77.0203, - 78.0225, - 79.1845, - 81.9923, - 80.7572, - 77.9939, - 55.052, - 57.562, - 60.5822, - 64.7182, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.1838, - 84.9033, - 130.385, - 119.919, - 119.306, - 123.454, - 124.22, - 124.452, - 121.401, - 121.577, - 123.318, - 114.867, - 86.1306, - 84.0178, - 73.4622, - 76.872, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.3915, - 82.324, - 128.165, - 119.55, - 120.943, - 126.516, - 129.961, - 135.918, - 133.583, - 133.788, - 132.953, - 120.288, - 88.3243, - 84.1777, - 69.6655, - 70.7734, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.8507, - 73.0825, - 127.65, - 121.858, - 126.34, - 135.444, - 139.881, - 146.08, - 141.763, - 140.45, - 142.052, - 131.52, - 95.68, - 88.7978, - 71.6284, - 70.0038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.0338, - 66.7377, - 129.097, - 127.287, - 130.95, - 142.693, - 144.605, - 149.31, - 146.199, - 145.083, - 146.327, - 134.154, - 100.622, - 93.4091, - 73.7635, - 74.4936, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.5939, - 61.398, - 129.761, - 125.369, - 132.447, - 142.265, - 143.388, - 148.734, - 146.38, - 146.5, - 145.575, - 130.295, - 94.4359, - 89.4222, - 73.1822, - 71.6438, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 42.2636, - 47.6857, - 73.3371, - 76.9813, - 78.8421, - 85.4943, - 87.3965, - 84.7442, - 53.8986, - 49.861, - 47.6768, - 43.6239, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.9283, - 79.8551, - 134.102, - 121.489, - 117.108, - 117.637, - 118.244, - 116.48, - 112.39, - 114.382, - 118.608, - 107.881, - 74.618, - 85.984, - 77.7682, - 80.5875, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 89.8266, - 86.848, - 132.776, - 118.442, - 116.302, - 119.762, - 121.633, - 123.643, - 122.477, - 123.919, - 125.068, - 112.251, - 78.2212, - 85.6934, - 71.4617, - 71.6353, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 87.7677, - 82.3362, - 131.651, - 118.206, - 118.501, - 123.843, - 127.326, - 132.751, - 131.767, - 132.713, - 136.447, - 124.041, - 87.1944, - 91.2282, - 73.0287, - 71.5962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4917, - 70.8912, - 126.346, - 121.111, - 123.364, - 129.271, - 135.667, - 143.393, - 144.88, - 149.774, - 156.483, - 141.859, - 93.5192, - 94.3326, - 73.1323, - 68.8229, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.7122, - 66.7855, - 125.881, - 121.267, - 125.944, - 135.4, - 141.945, - 149.745, - 148.69, - 152.957, - 158.845, - 144.611, - 96.5542, - 99.3558, - 78.2298, - 73.5792, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 54.3162, - 45.0313, - 69.98, - 77.8579, - 84.4665, - 92.0897, - 94.2012, - 94.5013, - 66.0984, - 65.0125, - 64.7387, - 55.3079, - 26.7323, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 22.2332, - 22.8165, - 32.7295, - 37.6599, - 42.0701, - 38.1407, - 44.4115, - 39.7426, - 34.3168, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.4291, - 62.9524, - 130.125, - 128.084, - 132.488, - 140.636, - 147.665, - 159.957, - 160.645, - 165.921, - 172.007, - 156.374, - 105.246, - 106.153, - 85.1244, - 80.1288, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.7446, - 60.8894, - 131.822, - 130.161, - 138.527, - 149.876, - 153.78, - 164.872, - 164.495, - 167.643, - 171.376, - 152.257, - 102.968, - 104.106, - 82.4524, - 78.2332, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.1166, - 63.819, - 135.209, - 128.197, - 128.413, - 134.494, - 134.603, - 144.112, - 144.12, - 146.048, - 146.055, - 126.037, - 80.1284, - 86.106, - 68.9044, - 67.4134, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.5567, - 68.3103, - 126.165, - 115.742, - 112.888, - 115.208, - 117.619, - 122.46, - 119.82, - 121.409, - 126.168, - 113.241, - 74.1242, - 81.7248, - 65.0699, - 67.1585, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 79.8937, - 77.5744, - 130.24, - 116.98, - 114.939, - 119.615, - 122.49, - 128.465, - 127.97, - 129.468, - 134.053, - 122.069, - 81.1643, - 87.5077, - 70.7853, - 70.079, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.8839, - 54.3597, - 70.9123, - 72.6442, - 74.5289, - 78.9558, - 79.7041, - 80.2502, - 52.361, - 52.0242, - 51.074, - 41.9165, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 19.7223, - 19.2984, - 18.7714, - 18.7714, - 20.8346, - 22.3906, - 23.2765, - 30.6705, - 32.2232, - 23.4251, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 74.3379, - 76.7696, - 128.834, - 122.656, - 124.814, - 131.312, - 135.25, - 141.939, - 140.479, - 141.374, - 143.878, - 129.222, - 86.5641, - 91.8302, - 73.8203, - 72.2079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.6246, - 65.8477, - 131.372, - 124.827, - 125.691, - 130.759, - 132.752, - 135.725, - 130.679, - 130.025, - 131.039, - 117.887, - 76.7346, - 86.1244, - 68.4688, - 66.315, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.4407, - 75.4997, - 128.957, - 116.648, - 113.645, - 117.508, - 120.712, - 126.149, - 125.324, - 127.242, - 132.425, - 118.756, - 75.9322, - 85.9619, - 68.4119, - 68.4751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.6345, - 74.551, - 126.805, - 119.035, - 120.842, - 127.823, - 132.001, - 140.423, - 139.36, - 144.286, - 151.053, - 135.855, - 89.5411, - 94.8905, - 74.4708, - 71.4572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 57.5239, - 64.2065, - 126.383, - 121.758, - 125.553, - 134.499, - 139.971, - 149.351, - 150.718, - 155.601, - 162.368, - 148.948, - 99.1819, - 102.062, - 77.8837, - 74.6351, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.4773, - 46.4038, - 73.8587, - 81.9449, - 86.7091, - 93.9951, - 97.8267, - 99.6959, - 71.2797, - 70.8948, - 73.2264, - 62.7134, - 28.1005, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 21.4214, - 27.1091, - 29.0738, - 28.741, - 34.6766, - 42.2791, - 40.22, - 45.0991, - 44.1284, - 45.5909, - 37.87, - 38.2507, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.8532, - 61.3864, - 133.685, - 132.233, - 138.316, - 147.485, - 150.226, - 161.462, - 162.997, - 168.239, - 174.332, - 159.009, - 104.438, - 110.972, - 87.2418, - 79.706, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0407, - 59.0522, - 131.243, - 128.37, - 133.604, - 146.386, - 149.751, - 160.785, - 162.466, - 167.56, - 173.898, - 157.862, - 102.947, - 107.683, - 83.2585, - 77.247, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0113, - 58.6284, - 128.191, - 121.964, - 123.165, - 129.6, - 132.302, - 142.052, - 145.55, - 153.425, - 157.602, - 138.45, - 88.281, - 92.9608, - 71.7437, - 68.7407, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.5548, - 60.7805, - 125.684, - 118.949, - 120.68, - 126.622, - 129.827, - 137.531, - 136.577, - 138.099, - 141.574, - 125.918, - 78.6719, - 86.0583, - 68.4183, - 67.742, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.152, - 61.7136, - 128.356, - 124.008, - 125.953, - 134.08, - 139.931, - 149.139, - 149.117, - 154.462, - 161.711, - 147.407, - 96.131, - 102.454, - 80.3328, - 75.8685, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 43.6683, - 43.7258, - 71.7377, - 77.1791, - 78.296, - 82.8729, - 86.2269, - 88.0662, - 60.9816, - 61.6987, - 64.5998, - 56.7667, - 23.9401, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 25.04, - 30.5038, - 28.4587, - 25.8726, - 26.0957, - 33.045, - 31.8747, - 41.1916, - 41.7748, - 31.4146, - 20.8047, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.1829, - 63.2713, - 134.211, - 130.156, - 136.589, - 146.542, - 149.222, - 159.608, - 160.34, - 164.756, - 169.711, - 153.234, - 99.254, - 102.567, - 82.4729, - 77.4227, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.1528, - 61.6384, - 136.06, - 132.857, - 134.569, - 141.395, - 145.353, - 156.327, - 157.611, - 163.197, - 171.062, - 158.189, - 104.83, - 108.849, - 89.532, - 84.8615, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.0389, - 64.8366, - 141.346, - 139.321, - 144.299, - 151.952, - 152.562, - 162.376, - 163.236, - 168.388, - 175.314, - 160.928, - 106.651, - 110.023, - 91.6166, - 86.5361, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.9963, - 64.093, - 140.857, - 139.35, - 146.126, - 157.494, - 162.556, - 173.804, - 175.24, - 179.983, - 188.079, - 172.409, - 114.799, - 115.247, - 95.0421, - 90.5571, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3695, - 65.4903, - 144.748, - 149.109, - 156.554, - 168.384, - 174.395, - 187.699, - 188.245, - 189.789, - 191.434, - 170.932, - 114.593, - 116.762, - 94.9978, - 90.3065, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.9595, - 44.4482, - 69.3626, - 72.3624, - 75.5321, - 80.0536, - 78.7292, - 77.2167, - 48.6567, - 46.9404, - 45.868, - 36.2655, - 22.2226, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.5402, - 37.4433, - 32.9169, - 30.4931, - 31.9691, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.7083, - 66.1048, - 124.628, - 115.509, - 115.295, - 119.871, - 122.147, - 126.515, - 123.744, - 124.697, - 127.929, - 113.915, - 71.3495, - 78.9198, - 65.7292, - 67.9154, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.369, - 70.151, - 127.021, - 116.399, - 116.048, - 119.76, - 121.555, - 126.9, - 125.811, - 125.623, - 126.897, - 112.548, - 70.7588, - 77.7666, - 66.6192, - 69.4532, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8006, - 75.2788, - 128.81, - 116.877, - 116.2, - 120.524, - 122.047, - 125.011, - 121.618, - 120.914, - 123.746, - 113.029, - 73.9834, - 81.9082, - 67.3704, - 67.7235, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 61.3638, - 70.2604, - 126.562, - 118.576, - 118.586, - 123.154, - 125.612, - 131.682, - 131.134, - 134.266, - 140.869, - 128.786, - 84.7729, - 89.89, - 73.7651, - 71.0646, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.7243, - 64.3224, - 126.366, - 120.706, - 122.544, - 130.042, - 134.492, - 142.155, - 142.368, - 147.787, - 155.382, - 141.624, - 91.4614, - 88.4601, - 70.4178, - 69.3797, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.0842, - 46.0879, - 74.0487, - 82.7558, - 84.3139, - 91.8135, - 95.1591, - 94.1539, - 66.0308, - 66.6632, - 68.8192, - 60.3246, - 38.8048, - 39.0184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 19.0765, - 27.1583, - 32.9917, - 34.3032, - 28.7563, - 20.4896, - 30.7491, - 34.6851, - 41.9585, - 46.1535, - 44.0584, - 38.6508, - 37.4947, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.0391, - 62.8071, - 134.314, - 128.465, - 128.327, - 131.675, - 131.761, - 136.86, - 132.215, - 130.117, - 132.756, - 122.496, - 83.3951, - 89.1851, - 76.1967, - 75.5725, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.2543, - 62.7236, - 132.484, - 127.787, - 127.896, - 132.541, - 134.898, - 143.959, - 144.492, - 149.319, - 154.887, - 140.308, - 90.1835, - 90.2344, - 73.5422, - 69.9378, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 39.2137, - 60.5049, - 128.769, - 122.621, - 123.305, - 126.911, - 128.55, - 134.286, - 130.593, - 130.716, - 132.876, - 117.576, - 74.4659, - 78.6808, - 66.8812, - 65.9344, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1688, - 62.6748, - 125.124, - 119.281, - 120.392, - 124.942, - 127.83, - 132.31, - 129.663, - 131.354, - 136.478, - 122.485, - 77.7101, - 81.0276, - 67.4824, - 66.0547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.3424, - 64.4753, - 124.595, - 117.906, - 118.406, - 123.321, - 127.374, - 134.869, - 135.002, - 139.331, - 148.026, - 138.45, - 93.9842, - 96.6093, - 81.8488, - 78.0342, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 37.5736, - 43.8939, - 71.6506, - 76.011, - 79.2391, - 85.9904, - 88.1892, - 89.5123, - 60.9987, - 57.9527, - 57.3685, - 47.3507, - 24.8517, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 20.0854, - 20.6267, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 22.7355, - 26.607, - 27.0776, - 22.1449, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.5681, - 66.3784, - 126.361, - 120.779, - 120.521, - 126.185, - 127.953, - 131.208, - 129.658, - 134.934, - 143.569, - 133.209, - 89.0637, - 92.7855, - 79.1082, - 75.7776, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.769, - 64.9103, - 137.224, - 130.992, - 131.823, - 139.611, - 142.618, - 151.561, - 149.946, - 152.682, - 152.739, - 133.288, - 82.2126, - 83.7803, - 70.7446, - 68.4837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.0623, - 64.038, - 127.931, - 121.924, - 121.913, - 126.77, - 128.229, - 132.896, - 130.55, - 131.374, - 136.072, - 122.141, - 78.0349, - 82.8535, - 70.6593, - 68.6232, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.534, - 60.0089, - 126.871, - 119.838, - 120.58, - 126.795, - 131.086, - 138.568, - 140.457, - 145.869, - 152.216, - 136.241, - 86.6061, - 87.5908, - 72.2149, - 68.9076, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.618, - 60.2328, - 128.616, - 125.855, - 130.205, - 137.279, - 140.82, - 149.723, - 149.823, - 156.073, - 163.947, - 150.005, - 100.953, - 97.1752, - 82.3749, - 78.4735, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 37.6882, - 46.9793, - 77.0701, - 86.4713, - 90.7444, - 95.6547, - 97.3365, - 97.9433, - 71.222, - 73.9435, - 75.7702, - 66.9583, - 43.0092, - 44.4502, - 38.5038, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 19.2731, - 31.2054, - 36.2287, - 38.889, - 38.172, - 35.3701, - 32.7311, - 34.3047, - 39.4602, - 44.7426, - 46.7916, - 45.3803, - 44.9368, - 46.3228, - 38.1948, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.8589, - 65.5684, - 144.535, - 141.984, - 145.659, - 152.241, - 154.308, - 165.571, - 165.349, - 164.595, - 168.628, - 150.286, - 98.0196, - 93.5665, - 79.3866, - 74.9124, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.4022, - 62.6383, - 135.175, - 131.618, - 133.625, - 140.946, - 146.244, - 157.409, - 157.625, - 161.693, - 166.174, - 150.201, - 96.0865, - 91.109, - 76.3352, - 72.127, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 34.5302, - 58.1158, - 131.084, - 128.3, - 131.648, - 140.337, - 145.856, - 157.564, - 156.871, - 160.652, - 169.102, - 154.733, - 103.853, - 102.152, - 86.4883, - 81.043, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 37.6487, - 62.003, - 139.446, - 137.906, - 143.738, - 152.449, - 154.172, - 164.235, - 165.526, - 170.759, - 179.88, - 167.905, - 115.776, - 109.426, - 91.0097, - 87.6493, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 42.8851, - 68.8443, - 150.728, - 150.571, - 154.553, - 162.81, - 166.841, - 179.973, - 182.987, - 189.059, - 193.68, - 175.524, - 120.186, - 112.16, - 91.3878, - 87.0327, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 47.2172, - 55.4205, - 88.1531, - 94.3473, - 96.6294, - 103.09, - 105.696, - 104.775, - 74.932, - 76.291, - 74.8477, - 61.5106, - 38.2127, - 33.3459, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.8031, - 29.5567, - 30.0297, - 33.1169, - 26.2197, - 22.0104, - 29.8991, - 29.805, - 43.4732, - 43.262, - 44.4254, - 40.4732, - 35.0622, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.7472, - 58.2243, - 127.954, - 122.102, - 123.479, - 128.871, - 131.774, - 140.554, - 140.774, - 149.723, - 159.505, - 146.714, - 97.3258, - 92.1289, - 75.1853, - 70.4336, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.2205, - 58.6763, - 131.154, - 127.893, - 132.55, - 140.255, - 143.895, - 154.066, - 153.286, - 158.36, - 166.178, - 152.008, - 104.901, - 100.167, - 82.7435, - 78.6812, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.0223, - 60.2995, - 137.967, - 137.604, - 142.959, - 152.617, - 155.874, - 166.593, - 168.194, - 174.007, - 180.822, - 167.851, - 117.818, - 112.151, - 92.2795, - 87.5903, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.835, - 65.6699, - 146.917, - 143.135, - 146.877, - 157.39, - 163.599, - 176.08, - 177.324, - 180.874, - 188.515, - 174.686, - 118.414, - 107.539, - 90.9205, - 86.1264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 47.4124, - 69.9245, - 149.821, - 147.249, - 150.162, - 156.323, - 157.526, - 168.027, - 167.913, - 173.603, - 182.131, - 164.107, - 111.132, - 105.028, - 88.0136, - 81.831, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 38.0113, - 47.846, - 77.6638, - 82.8101, - 85.4024, - 90.6292, - 92.6013, - 94.0708, - 67.9454, - 71.1323, - 73.1412, - 62.8177, - 39.7923, - 40.4683, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.482, - 28.5051, - 32.017, - 31.5775, - 26.9776, - 24.3388, - 27.726, - 33.1362, - 41.692, - 44.9824, - 43.355, - 45.2788, - 43.5553, - 40.4872, - 35.0372, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 37.7471, - 62.4864, - 136.434, - 132.212, - 133.91, - 141.817, - 145.867, - 154.757, - 155.024, - 162.016, - 174.378, - 161.139, - 108.2, - 101.263, - 88.66, - 83.2539, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 46.4421, - 71.1007, - 148.301, - 145.521, - 148.258, - 152.931, - 152.667, - 160.065, - 159.495, - 162.482, - 167.864, - 153.517, - 104.212, - 100.28, - 86.088, - 82.4135, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.9368, - 67.6722, - 147.521, - 144.574, - 145.272, - 151.639, - 150.254, - 158.385, - 156.288, - 156.628, - 155.792, - 139.086, - 95.2451, - 94.772, - 84.7145, - 81.3023, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.4624, - 70.2877, - 150.636, - 149.334, - 152.466, - 158.872, - 160.123, - 172.598, - 175.783, - 180.294, - 188.872, - 175.125, - 122.873, - 113.839, - 95.9802, - 91.3442, - 41.6166, - 43.8912, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 51.3942, - 75.8401, - 160.924, - 159.327, - 164.61, - 174.91, - 174.524, - 187.088, - 191.371, - 198.295, - 197.203, - 174.797, - 120.109, - 113.761, - 98.6324, - 94.0161, - 41.6166, - 44.328, - 40.6651, - 36.9883, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 55.0653, - 61.5475, - 92.2712, - 101.527, - 108.619, - 114.725, - 116.985, - 115.622, - 83.1234, - 77.4784, - 73.8617, - 62.0457, - 32.0179, - 39.8192, - 39.6141, - 33.5754, - 38.9989, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 27.9937, - 40.5519, - 40.1898, - 43.1526, - 42.5758, - 44.2812, - 40.6347, - 46.6996, - 45.9491, - 48.8877, - 42.8779, - 48.7192, - 42.5042, - 46.7276, - 46.6115, - 44.262, - 39.2828, - 38.9584, - 33.5754, - 38.273, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.3122, - 37.4182, - 38.5624, - 41.9616, - 40.7537, - 41.376, - 34.673, - 38.3448, - 39.3285, - 46.3254, - 44.2231, - 46.0086, - 41.7476, - 45.3716, - 40.165, - 37.4708, - 35.9762, - 34.7379, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.4475, - 63.2309, - 136.576, - 131.774, - 133.582, - 139.793, - 144.552, - 154.588, - 156.853, - 165.411, - 172.347, - 158.304, - 108.565, - 103.181, - 85.1724, - 78.5426, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 34.4608, - 60.6191, - 135.035, - 131.593, - 135.807, - 144.967, - 147.986, - 159.688, - 163.708, - 172.084, - 180.383, - 164.111, - 112.446, - 107.492, - 92.4108, - 87.6429, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.029, - 67.7405, - 147.362, - 147.151, - 150.956, - 160.051, - 165.412, - 178.98, - 182.611, - 190.276, - 197.942, - 184.529, - 131.691, - 122.398, - 101.919, - 95.6129, - 41.6166, - 44.3309, - 40.5071, - 42.0127, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 52.8469, - 76.1312, - 164.442, - 167.055, - 174.091, - 183.095, - 184.461, - 197.069, - 203.315, - 214.152, - 218.819, - 200.012, - 142.555, - 126.957, - 104.333, - 97.9217, - 47.3522, - 40.6726, - 42.2983, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 54.4545, - 62.2385, - 97.1897, - 107.672, - 112.136, - 118.59, - 122.749, - 127.635, - 96.6729, - 97.5014, - 100.49, - 91.9638, - 49.7253, - 47.0907, - 53.8132, - 48.154, - 41.006, - 39.3456, - 37.241, - 38.2512, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 27.7897, - 37.4294, - 39.3973, - 43.9902, - 44.7326, - 49.0706, - 46.918, - 50.2413, - 46.7583, - 54.2284, - 50.1686, - 50.6717, - 47.5885, - 51.393, - 50.31, - 50.0658, - 45.7723, - 47.8415, - 38.0145, - 45.3427, - 45.1451, - 42.3807, - 42.3128, - 42.3515, - 61.4454, - 87.9024, - 178.222, - 175.99, - 180.207, - 189.254, - 188.357, - 194.164, - 184.555, - 187.238, - 186.724, - 167.208, - 114.255, - 107.468, - 96.8432, - 93.1377, - 41.6166, - 44.0362, - 40.5905, - 42.201, - 40.4416, - 41.9224, - 38.9362, - 38.7523, - 56.8425, - 77.0015, - 162.326, - 159.031, - 163.428, - 169.726, - 167.377, - 179.461, - 165.433, - 140.453, - 144.898, - 144.305, - 101.433, - 97.2058, - 86.1532, - 82.2764, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 49.5294, - 74.2807, - 163.04, - 155.226, - 149.508, - 156.335, - 157.139, - 166.377, - 168.007, - 174.004, - 180.992, - 165.504, - 112.133, - 103.68, - 93.2984, - 88.2411, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 50.2052, - 75.3768, - 158.096, - 153.897, - 154.367, - 159.764, - 160.553, - 172.794, - 176.017, - 181.31, - 188.91, - 176.213, - 120.439, - 111.134, - 96.1823, - 91.4155, - 41.6166, - 44.5323, - 38.9362, - 38.9362, - 43.5449, - 38.9362, - 38.9362, - 34.2146, - 52.1434, - 75.832, - 161.881, - 158.778, - 159.565, - 164.934, - 168.334, - 181.133, - 183.116, - 191.664, - 199.86, - 185.156, - 133.389, - 123.836, - 104.565, - 95.45, - 47.1942, - 40.5303, - 42.1295, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 50.4699, - 57.0338, - 89.5093, - 98.2043, - 102.354, - 109.235, - 113.742, - 117.252, - 89.3465, - 94.1742, - 94.6693, - 80.8635, - 45.54, - 44.5284, - 46.6009, - 40.4631, - 37.3388, - 35.3927, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 23.893, - 31.5964, - 39.8916, - 37.9223, - 44.8673, - 40.8063, - 44.4725, - 39.6935, - 49.063, - 47.7767, - 55.5106, - 49.2277, - 54.5874, - 47.7973, - 57.3912, - 46.3232, - 41.2949, - 36.6476, - 35.0666, - 42.8602, - 42.394, - 38.9362, - 38.9362, - 34.2146, - 51.0176, - 77.2111, - 163.975, - 164.133, - 171.458, - 182.86, - 185.69, - 200.225, - 207.667, - 218.618, - 223.04, - 203.129, - 145.857, - 132.971, - 112.46, - 101.449, - 46.327, - 44.3437, - 42.2325, - 40.5486, - 43.3079, - 38.9362, - 38.9362, - 35.4955, - 56.0097, - 80.9663, - 170.519, - 171.558, - 180.337, - 192.46, - 196.779, - 221.416, - 230.861, - 231.478, - 233.301, - 215.573, - 150.935, - 130.769, - 106.225, - 98.3201, - 47.3371, - 42.5317, - 40.7036, - 43.8262, - 41.7352, - 40.2955, - 38.9362, - 34.2146, - 50.2569, - 76.2754, - 165.894, - 166.405, - 171.05, - 179.005, - 181.102, - 193.768, - 194.582, - 198.032, - 202.401, - 183.049, - 126.684, - 114.322, - 95.7278, - 88.0385, - 41.6166, - 42.4275, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 40.6255, - 64.5003, - 143.482, - 140.56, - 146.776, - 156.185, - 158.851, - 170.161, - 173.71, - 180.588, - 188.605, - 172.501, - 117.267, - 107.136, - 92.3655, - 86.2837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.286, - 67.9923, - 149.315, - 149.746, - 153.872, - 163.297, - 164.402, - 174.823, - 178.843, - 185.58, - 192.488, - 176.751, - 123.447, - 114.691, - 97.8279, - 90.8628, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 44.6952, - 54.0664, - 87.9593, - 96.1507, - 98.9663, - 105.266, - 108.893, - 111.455, - 81.9898, - 83.5974, - 85.7555, - 74.9004, - 43.9267, - 42.9032, - 44.3724, - 37.473, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 22.8747, - 34.9112, - 38.5529, - 41.7981, - 41.8734, - 43.2313, - 38.9733, - 43.6701, - 43.8712, - 47.0199, - 50.7143, - 47.5638, - 48.2188, - 44.6832, - 48.593, - 40.9827, - 33.5754, - 37.8721, - 33.5754, - 42.366, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 46.3487, - 71.8328, - 157.981, - 157.303, - 160.162, - 168.558, - 171.841, - 185.954, - 189.417, - 194.657, - 200.163, - 180.558, - 126.138, - 115.4, - 97.2838, - 91.373, - 41.6166, - 42.0957, - 40.4904, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 53.2926, - 76.1921, - 162.199, - 159.538, - 162.493, - 168.727, - 171.107, - 184.944, - 187.726, - 193.197, - 198.915, - 181.314, - 125.734, - 114.518, - 96.7329, - 90.0728, - 41.6166, - 41.5805, - 40.2179, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 47.0066, - 73.5484, - 161.117, - 157.988, - 160.225, - 165.749, - 166.594, - 180.533, - 183.688, - 190.568, - 196.046, - 176.356, - 120.142, - 110.104, - 93.4373, - 86.8373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 43.4058, - 67.4768, - 151.342, - 151.186, - 155.036, - 163.369, - 168.158, - 181.031, - 182.766, - 191.158, - 199.768, - 186.927, - 136.072, - 127.73, - 105.675, - 97.2228, - 45.3655, - 40.7566, - 43.6201, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 48.4657, - 74.9302, - 164.579, - 164.54, - 169.419, - 179.131, - 182.12, - 195.115, - 194.666, - 205.14, - 217.956, - 191.46, - 137.172, - 131.869, - 115.503, - 104.751, - 51.149, - 45.9375, - 44.3028, - 33.5754, - 38.1535, - 33.5754, - 33.5754, - 26.1734, - 52.8389, - 61.1192, - 95.4662, - 107.007, - 114.54, - 122.832, - 127.042, - 128.877, - 99.644, - 102.101, - 104.116, - 93.6105, - 50.1247, - 47.0144, - 53.0985, - 45.0806, - 44.6686, - 37.4366, - 38.9231, - 33.5754, - 37.7027, - 33.5754, - 33.5754, - 26.1734, - 26.9605, - 34.6029, - 43.3024, - 40.565, - 48.1295, - 44.7838, - 52.0177, - 47.351, - 56.0725, - 52.216, - 60.4164, - 53.2734, - 58.5794, - 50.4741, - 62.2833, - 47.6445, - 47.7476, - 44.9171, - 40.51, - 44.8603, - 43.7901, - 42.2282, - 42.0918, - 37.9532, - 59.8601, - 83.8223, - 173.585, - 174.439, - 180.255, - 191.034, - 198.996, - 223.288, - 224.332, - 228.653, - 236.469, - 222.903, - 170.413, - 156.192, - 121.69, - 107.725, - 50.7132, - 49.0044, - 43.2153, - 43.3173, - 41.6221, - 40.2571, - 42.6657, - 34.2146, - 57.4315, - 82.7227, - 174.964, - 175.313, - 180.917, - 191.575, - 196.725, - 220.556, - 228.578, - 230.428, - 235.475, - 216.219, - 153.331, - 133.903, - 111.424, - 103.002, - 47.1273, - 48.58, - 43.7784, - 44.595, - 44.0435, - 38.9362, - 43.5038, - 36.6819, - 57.8219, - 80.3781, - 169.609, - 168.873, - 174.987, - 184.451, - 188.176, - 202.989, - 209.921, - 222.049, - 225.338, - 198.407, - 136.558, - 123.628, - 105.676, - 100.01, - 47.6686, - 48.7252, - 38.9362, - 43.5535, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 49.5892, - 76.2959, - 166.449, - 164.986, - 168.561, - 177.766, - 179.416, - 194.0, - 205.258, - 220.558, - 225.96, - 206.924, - 140.781, - 127.773, - 108.266, - 99.6405, - 47.4092, - 40.7942, - 42.6126, - 44.1141, - 38.9362, - 42.6497, - 38.9362, - 36.6819, - 47.8256, - 73.1196, - 163.686, - 161.049, - 163.083, - 171.578, - 175.851, - 192.346, - 201.453, - 218.077, - 224.355, - 203.692, - 139.746, - 122.483, - 101.139, - 95.1179, - 43.3981, - 42.426, - 43.6435, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 48.4555, - 55.6408, - 86.9337, - 92.244, - 95.1485, - 102.142, - 106.066, - 110.269, - 83.5964, - 88.2165, - 92.1577, - 83.6654, - 48.4356, - 47.031, - 59.4297, - 44.6869, - 41.5394, - 38.3123, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 24.5123, - 35.3661, - 37.2975, - 40.1954, - 39.5906, - 42.6903, - 40.9116, - 41.4746, - 46.9348, - 50.1979, - 53.2835, - 54.8975, - 55.734, - 54.0741, - 60.9568, - 53.3001, - 46.8896, - 41.1054, - 39.3078, - 41.5509, - 41.4085, - 41.3429, - 41.046, - 37.7176, - 47.2819, - 73.0506, - 162.641, - 161.747, - 168.063, - 179.831, - 186.613, - 204.564, - 218.925, - 231.067, - 240.167, - 224.568, - 168.531, - 150.237, - 122.516, - 109.776, - 50.769, - 49.1468, - 43.5484, - 36.5959, - 35.0726, - 35.0097, - 36.4128, - 28.6407, - 31.9025, - 39.9773, - 40.6932, - 44.5379, - 44.4366, - 48.3603, - 48.8608, - 54.5533, - 55.1346, - 60.0521, - 55.0052, - 58.5356, - 57.2107, - 58.0062, - 62.3018, - 57.6018, - 52.8137, - 48.5705, - 45.674, - 47.0158, - 48.88, - 43.4671, - 43.9847, - 41.3714, - 61.914, - 86.9467, - 181.086, - 181.449, - 187.562, - 199.634, - 206.893, - 236.446, - 243.442, - 249.831, - 252.822, - 237.092, - 178.633, - 160.063, - 129.085, - 120.251, - 55.3817, - 56.595, - 48.1278, - 49.9898, - 42.4037, - 42.1935, - 43.3778, - 39.4545, - 59.3723, - 84.6907, - 180.423, - 180.836, - 186.225, - 197.275, - 205.302, - 231.362, - 240.712, - 249.681, - 263.722, - 249.91, - 188.621, - 173.452, - 134.803, - 121.631, - 56.1207, - 57.5053, - 50.6343, - 49.1768, - 44.4999, - 44.3999, - 44.3927, - 42.8778, - 61.4139, - 86.7599, - 186.891, - 190.837, - 198.747, - 207.645, - 211.838, - 241.774, - 262.163, - 268.742, - 274.231, - 262.211, - 199.747, - 178.862, - 145.017, - 122.382, - 55.8128, - 58.1977, - 51.3724, - 48.2751, - 42.5604, - 39.8775, - 38.8493, - 38.2728, - 62.2958, - 66.9385, - 99.3759, - 111.364, - 120.912, - 129.247, - 133.878, - 135.635, - 106.555, - 114.328, - 119.437, - 104.461, - 50.5622, - 47.9985, - 60.8511, - 54.6621, - 49.8308, - 46.5466, - 46.981, - 41.9778, - 42.7805, - 39.0512, - 37.0722, - 31.2265, - 33.3839, - 38.6382, - 43.8889, - 43.7071, - 48.3729, - 47.5619, - 51.6026, - 50.1884, - 54.9051, - 53.3735, - 58.4586, - 53.8277, - 54.7098, - 50.0536, - 59.649, - 48.6389, - 48.6278, - 45.5337, - 44.6283, - 44.7576, - 44.0365, - 43.6571, - 43.2912, - 40.7662, - 53.3583, - 77.4842, - 168.633, - 166.629, - 172.569, - 182.683, - 184.18, - 199.375, - 207.337, - 223.627, - 228.76, - 212.11, - 141.785, - 128.243, - 108.852, - 100.156, - 47.3052, - 46.6773, - 44.1374, - 42.0815, - 40.4822, - 43.2651, - 38.9362, - 41.0257, - 56.3967, - 77.2287, - 163.718, - 162.252, - 165.364, - 174.903, - 178.224, - 190.424, - 186.102, - 192.375, - 182.099, - 166.713, - 117.976, - 111.192, - 98.874, - 93.7265, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 58.1613, - 81.4868, - 169.651, - 166.546, - 171.223, - 180.723, - 181.846, - 198.188, - 203.565, - 211.162, - 224.519, - 209.796, - 145.074, - 133.809, - 111.991, - 101.711, - 46.3424, - 47.2849, - 43.4706, - 43.8225, - 40.3381, - 41.6981, - 38.9362, - 37.268, - 52.7569, - 76.9014, - 167.819, - 166.542, - 171.1, - 182.61, - 189.547, - 206.086, - 218.413, - 229.232, - 234.381, - 219.312, - 163.146, - 143.124, - 117.897, - 105.469, - 51.9356, - 44.7061, - 48.4803, - 43.1027, - 43.7765, - 41.7532, - 40.3411, - 36.6819, - 55.673, - 80.1385, - 172.714, - 172.068, - 177.2, - 187.604, - 191.443, - 211.824, - 228.314, - 235.905, - 242.704, - 226.84, - 169.503, - 147.46, - 118.477, - 106.185, - 52.4005, - 50.5653, - 44.8634, - 39.272, - 39.0331, - 35.3188, - 36.9355, - 28.6407, - 56.9511, - 64.0667, - 100.283, - 108.994, - 113.569, - 123.17, - 131.912, - 135.384, - 102.516, - 105.33, - 107.235, - 95.356, - 49.603, - 46.9469, - 58.7117, - 48.3927, - 42.806, - 43.7419, - 37.1876, - 35.3266, - 37.1296, - 35.2908, - 33.5754, - 28.6407, - 27.5441, - 39.43, - 38.2675, - 45.2798, - 41.5173, - 48.8497, - 43.617, - 51.4193, - 46.1962, - 56.6401, - 52.1132, - 60.4468, - 50.5762, - 55.8279, - 56.1373, - 50.161, - 44.2489, - 40.4876, - 36.8065, - 43.5722, - 41.8963, - 43.0588, - 42.8575, - 39.1493, - 53.8225, - 77.2312, - 167.766, - 166.532, - 171.628, - 180.525, - 183.954, - 198.706, - 207.218, - 224.855, - 234.923, - 221.492, - 166.208, - 143.212, - 116.2, - 104.984, - 51.8005, - 45.1544, - 42.5807, - 43.6598, - 43.3088, - 41.5696, - 40.2248, - 39.1493, - 53.128, - 77.2528, - 169.719, - 169.251, - 173.099, - 182.456, - 188.343, - 208.288, - 229.42, - 239.671, - 267.364, - 248.213, - 181.888, - 168.402, - 132.791, - 117.956, - 55.6072, - 54.3442, - 50.4752, - 50.8564, - 43.314, - 44.1468, - 40.5975, - 42.4545, - 61.8237, - 83.8814, - 179.564, - 181.856, - 187.731, - 199.521, - 206.907, - 237.989, - 253.827, - 266.555, - 270.053, - 246.661, - 183.849, - 174.16, - 143.882, - 124.935, - 56.049, - 63.9428, - 52.3269, - 54.0955, - 48.7688, - 45.9853, - 48.4604, - 45.1396, - 62.8727, - 88.3991, - 185.27, - 192.319, - 204.369, - 218.967, - 224.971, - 240.286, - 237.957, - 240.219, - 244.074, - 226.79, - 166.368, - 142.611, - 122.706, - 114.208, - 55.3775, - 55.6276, - 53.8955, - 55.8114, - 50.6682, - 48.4403, - 44.376, - 40.9011, - 65.2421, - 91.8925, - 189.946, - 191.572, - 200.394, - 210.663, - 212.894, - 236.448, - 233.736, - 233.453, - 236.511, - 220.226, - 153.032, - 134.71, - 115.017, - 107.627, - 52.4706, - 52.9088, - 50.9459, - 44.8227, - 39.9164, - 38.9098, - 33.5754, - 32.7778, - 61.6482, - 65.0358, - 99.9091, - 111.456, - 120.101, - 130.216, - 135.28, - 140.58, - 104.011, - 88.5092, - 83.2487, - 73.5501, - 42.0348, - 43.4659, - 48.8099, - 45.4935, - 40.366, - 46.6843, - 40.1852, - 39.0875, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 33.7386, - 42.337, - 42.6413, - 46.089, - 46.1486, - 48.5683, - 48.7843, - 51.9728, - 53.842, - 56.9833, - 58.9695, - 58.6243, - 56.0087, - 53.9867, - 59.7684, - 55.4939, - 48.2649, - 47.0565, - 45.929, - 50.8348, - 50.7394, - 46.1121, - 43.418, - 44.6032, - 62.4982, - 85.6449, - 179.676, - 180.858, - 186.87, - 195.896, - 194.681, - 210.054, - 222.614, - 231.86, - 241.768, - 227.94, - 168.587, - 141.169, - 115.999, - 105.249, - 51.8878, - 52.8176, - 45.9322, - 45.8918, - 42.4742, - 44.1116, - 43.8686, - 39.1493, - 60.4223, - 81.9874, - 176.35, - 176.467, - 182.878, - 192.537, - 197.577, - 213.882, - 230.351, - 242.38, - 262.879, - 243.216, - 177.519, - 159.466, - 122.521, - 110.824, - 53.5261, - 54.2693, - 48.3948, - 48.0172, - 42.2829, - 42.1038, - 43.4927, - 42.023, - 58.3894, - 81.8399, - 176.384, - 177.36, - 183.556, - 198.519, - 205.436, - 227.474, - 234.088, - 243.318, - 265.212, - 239.713, - 173.032, - 158.125, - 126.418, - 115.155, - 53.1454, - 54.3226, - 43.603, - 44.5581, - 43.9224, - 43.6183, - 40.3855, - 46.0786, - 59.9735, - 85.7831, - 179.564, - 178.074, - 183.776, - 193.534, - 202.685, - 224.102, - 231.887, - 235.16, - 238.205, - 223.247, - 167.112, - 143.997, - 118.061, - 106.13, - 49.3416, - 48.2079, - 50.7191, - 42.6157, - 44.2914, - 44.1357, - 38.9362, - 43.5614, - 58.3104, - 80.0074, - 174.458, - 177.339, - 184.796, - 195.871, - 197.261, - 213.799, - 218.113, - 227.562, - 234.058, - 218.814, - 148.895, - 135.533, - 116.041, - 107.272, - 51.3315, - 44.657, - 42.9241, - 39.2029, - 33.5754, - 38.0633, - 33.5754, - 31.108, - 57.2114, - 63.6639, - 100.045, - 111.288, - 117.718, - 126.963, - 131.419, - 134.424, - 107.096, - 112.752, - 118.337, - 107.472, - 54.5663, - 53.7819, - 63.5704, - 51.5017, - 49.8785, - 40.9568, - 44.8437, - 39.4481, - 33.5754, - 38.5082, - 33.5754, - 31.108, - 26.6324, - 38.0767, - 41.3759, - 46.7934, - 45.9877, - 50.341, - 49.5434, - 53.1495, - 53.0766, - 60.5704, - 59.7, - 65.137, - 58.0635, - 62.0228, - 63.6229, - 52.0985, - 48.7609, - 48.5218, - 40.181, - 44.4068, - 44.392, - 49.7717, - 43.547, - 43.4167, - 53.6739, - 75.8674, - 166.477, - 166.347, - 170.96, - 179.656, - 187.298, - 207.784, - 213.997, - 226.449, - 235.684, - 222.381, - 159.521, - 136.712, - 108.779, - 98.1551, - 46.3624, - 47.4517, - 44.3835, - 42.5014, - 40.6603, - 44.0905, - 42.3432, - 43.2771, - 64.1893, - 87.4765, - 180.794, - 178.612, - 182.845, - 191.271, - 195.32, - 218.052, - 230.498, - 238.679, - 253.019, - 238.489, - 170.146, - 147.956, - 120.915, - 111.364, - 53.6997, - 53.7652, - 46.9082, - 46.1314, - 44.2691, - 44.1433, - 42.3492, - 43.268, - 62.7639, - 82.6671, - 174.061, - 173.19, - 179.425, - 189.626, - 193.48, - 212.039, - 219.85, - 232.32, - 242.581, - 229.13, - 170.995, - 158.034, - 128.315, - 116.175, - 54.3369, - 53.6069, - 49.9744, - 51.395, - 43.5976, - 47.8716, - 44.3114, - 45.1859, - 64.0479, - 86.0618, - 180.445, - 181.047, - 188.547, - 201.536, - 206.967, - 228.837, - 235.904, - 237.077, - 236.135, - 215.1, - 148.387, - 139.165, - 121.078, - 115.944, - 53.754, - 53.9184, - 48.6521, - 49.6297, - 51.881, - 47.4248, - 46.9379, - 53.6783, - 67.1196, - 95.1423, - 192.118, - 192.277, - 198.143, - 208.741, - 211.687, - 230.798, - 237.856, - 241.091, - 247.018, - 226.618, - 164.59, - 146.778, - 124.682, - 116.646, - 52.6861, - 55.5299, - 48.9042, - 44.359, - 40.135, - 37.9039, - 37.7682, - 35.9296, - 69.8911, - 77.8263, - 113.315, - 123.156, - 128.296, - 135.746, - 140.246, - 144.86, - 114.824, - 112.984, - 112.531, - 101.288, - 49.8924, - 53.5724, - 57.756, - 54.0432, - 50.5727, - 46.704, - 46.1319, - 43.6732, - 38.6065, - 39.5153, - 35.6108, - 37.4501, - 35.7427, - 44.4723, - 43.7064, - 47.9957, - 47.9166, - 51.403, - 50.5861, - 54.8154, - 54.425, - 59.4849, - 60.5647, - 62.6532, - 54.5317, - 58.414, - 58.5186, - 61.5682, - 47.9798, - 50.1982, - 47.7063, - 53.9013, - 50.4018, - 52.8327, - 44.7234, - 51.7647, - 66.3187, - 91.7848, - 187.27, - 189.457, - 198.423, - 211.032, - 213.815, - 234.617, - 239.317, - 241.594, - 247.38, - 231.822, - 172.243, - 158.356, - 132.854, - 123.499, - 56.4571, - 64.7135, - 52.5461, - 56.6472, - 51.6279, - 51.6924, - 49.1962, - 55.2363, - 66.8192, - 95.584, - 195.199, - 198.176, - 208.016, - 222.079, - 220.943, - 240.802, - 241.053, - 245.115, - 260.336, - 243.385, - 176.091, - 160.173, - 131.819, - 122.342, - 58.6815, - 61.4263, - 52.1459, - 54.1163, - 50.6227, - 53.0123, - 46.5274, - 52.1488, - 67.1726, - 97.4161, - 198.747, - 199.237, - 205.219, - 215.563, - 217.295, - 237.997, - 238.132, - 234.513, - 229.294, - 204.344, - 135.409, - 125.779, - 107.001, - 101.285, - 41.6166, - 45.4419, - 45.2936, - 38.9362, - 44.7869, - 38.9362, - 42.7183, - 43.5263, - 64.9357, - 86.6601, - 180.328, - 180.285, - 185.117, - 194.753, - 197.727, - 215.212, - 216.522, - 213.195, - 209.67, - 188.519, - 127.952, - 124.773, - 108.067, - 103.729, - 47.8879, - 49.6724, - 43.9867, - 44.9625, - 38.9362, - 44.9278, - 41.0689, - 45.6282, - 65.3795, - 86.7174, - 181.402, - 182.158, - 189.276, - 199.12, - 199.549, - 213.588, - 214.044, - 215.864, - 229.554, - 204.339, - 139.526, - 132.364, - 111.523, - 104.501, - 48.5745, - 48.5515, - 48.4871, - 37.4321, - 39.2103, - 33.5754, - 33.5754, - 38.6193, - 60.9236, - 62.5945, - 95.6751, - 104.134, - 108.559, - 115.898, - 120.478, - 125.006, - 94.7252, - 95.6205, - 97.6665, - 83.9081, - 45.7082, - 49.8033, - 48.2965, - 43.4417, - 41.6877, - 40.6511, - 37.0641, - 35.2597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.8134, - 35.6271, - 39.5227, - 39.6441, - 43.0601, - 42.4848, - 44.719, - 44.0115, - 47.7842, - 45.9234, - 49.3928, - 46.6474, - 46.8926, - 48.7065, - 50.2997, - 41.2534, - 38.029, - 38.477, - 36.6484, - 40.4648, - 43.3602, - 38.9362, - 38.9362, - 45.6027, - 55.2059, - 74.126, - 158.068, - 156.523, - 159.791, - 165.6, - 165.153, - 175.365, - 177.517, - 185.651, - 197.488, - 182.35, - 124.248, - 118.157, - 99.1892, - 93.6708, - 43.3983, - 42.4135, - 43.93, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.2829, - 76.0429, - 162.779, - 160.46, - 163.822, - 172.009, - 175.949, - 192.34, - 195.349, - 200.407, - 208.388, - 190.793, - 132.047, - 126.756, - 106.829, - 100.013, - 45.5902, - 40.8513, - 44.6885, - 38.9362, - 44.5805, - 38.9362, - 44.3847, - 41.6166, - 67.2318, - 87.1405, - 179.953, - 176.323, - 179.99, - 186.844, - 186.995, - 199.812, - 200.291, - 205.487, - 218.351, - 193.243, - 131.123, - 123.133, - 103.517, - 98.3167, - 43.6225, - 42.8175, - 44.6398, - 38.9362, - 44.3915, - 38.9362, - 38.9362, - 46.3771, - 61.653, - 80.1772, - 169.781, - 166.737, - 170.718, - 178.621, - 179.829, - 192.999, - 191.928, - 192.663, - 196.203, - 176.099, - 117.314, - 111.532, - 93.9735, - 88.894, - 41.6166, - 38.9362, - 41.4919, - 40.1882, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3468, - 66.268, - 151.055, - 152.752, - 159.51, - 168.482, - 170.228, - 182.46, - 184.325, - 190.338, - 199.692, - 183.803, - 125.989, - 122.513, - 101.243, - 95.6889, - 41.6166, - 44.1988, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.6804, - 53.5744, - 84.9006, - 93.6935, - 98.7111, - 106.331, - 109.715, - 112.617, - 84.1476, - 87.7816, - 92.6876, - 81.1941, - 45.728, - 51.9171, - 48.4406, - 40.5704, - 37.3085, - 35.3701, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.2141, - 34.569, - 39.1216, - 39.9232, - 43.3933, - 42.762, - 45.6268, - 45.7289, - 49.975, - 48.7901, - 54.0039, - 50.7864, - 50.8207, - 53.7933, - 49.2994, - 46.4678, - 46.6811, - 40.0557, - 38.8491, - 42.2614, - 40.5767, - 43.5412, - 38.9362, - 45.798, - 58.4186, - 77.6283, - 165.984, - 163.591, - 168.404, - 177.218, - 180.179, - 195.66, - 196.958, - 198.702, - 201.357, - 180.987, - 121.235, - 118.733, - 98.4158, - 93.2084, - 41.6166, - 44.045, - 38.9362, - 43.0121, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.8956, - 72.0158, - 155.043, - 153.28, - 157.458, - 164.742, - 168.062, - 183.153, - 186.552, - 192.223, - 200.009, - 179.88, - 118.921, - 117.475, - 97.2274, - 90.396, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.191, - 65.218, - 144.693, - 142.639, - 148.684, - 157.615, - 161.36, - 176.141, - 179.804, - 186.846, - 196.418, - 179.344, - 120.526, - 117.332, - 96.5963, - 89.8572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 43.1859, - 62.7987, - 144.703, - 145.544, - 153.793, - 162.912, - 166.476, - 181.202, - 184.302, - 190.361, - 198.962, - 181.775, - 123.003, - 119.871, - 98.6093, - 91.7976, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.5906, - 66.0527, - 146.916, - 147.268, - 154.108, - 162.22, - 163.907, - 175.631, - 177.439, - 184.156, - 194.116, - 177.51, - 119.076, - 115.478, - 94.8228, - 88.5889, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.4164, - 54.322, - 84.8721, - 90.6937, - 92.7119, - 98.6471, - 101.689, - 104.714, - 76.1689, - 78.5591, - 82.7785, - 71.8748, - 43.348, - 47.668, - 38.0057, - 38.8605, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 28.6054, - 35.6416, - 37.6241, - 40.3923, - 38.4898, - 40.7942, - 42.6306, - 46.4935, - 46.0358, - 50.7198, - 48.9622, - 49.2702, - 54.8801, - 54.0361, - 40.3988, - 38.5616, - 38.9802, - 33.5754, - 43.1845, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.796, - 71.5811, - 154.85, - 156.313, - 163.555, - 174.364, - 179.6, - 197.619, - 202.892, - 214.605, - 227.549, - 200.935, - 135.27, - 130.488, - 104.782, - 98.0332, - 43.5434, - 42.6625, - 42.228, - 40.5515, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.8837, - 75.1205, - 163.116, - 165.033, - 173.55, - 185.172, - 190.162, - 208.686, - 218.262, - 229.604, - 236.888, - 219.93, - 149.054, - 143.263, - 117.099, - 109.592, - 53.1358, - 47.151, - 47.5321, - 44.4344, - 42.2797, - 40.6172, - 42.072, - 43.1879, - 65.6485, - 80.8257, - 172.55, - 172.192, - 179.637, - 190.879, - 194.41, - 211.104, - 215.092, - 223.637, - 226.848, - 198.025, - 132.781, - 129.177, - 104.439, - 98.3105, - 45.4214, - 40.7682, - 44.1997, - 40.6239, - 42.259, - 38.9362, - 38.9362, - 41.6166, - 59.9208, - 75.2729, - 163.059, - 162.456, - 167.895, - 176.419, - 178.285, - 193.297, - 197.677, - 206.612, - 223.93, - 199.654, - 136.619, - 133.754, - 108.153, - 100.868, - 45.6362, - 40.867, - 44.5546, - 42.2175, - 40.5334, - 38.9362, - 38.9362, - 41.6166, - 56.6981, - 72.0095, - 160.365, - 161.404, - 169.072, - 180.346, - 184.657, - 201.266, - 204.642, - 214.671, - 226.751, - 199.908, - 134.31, - 131.547, - 106.28, - 99.0027, - 47.1671, - 42.5221, - 40.6585, - 38.5523, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.1872, - 59.8296, - 93.7304, - 103.044, - 110.072, - 118.312, - 121.851, - 124.789, - 94.9021, - 93.87, - 97.8319, - 86.1964, - 46.8296, - 54.9564, - 50.154, - 45.2214, - 44.1069, - 40.336, - 35.4415, - 39.0305, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6378, - 37.4826, - 43.7525, - 40.1368, - 47.5309, - 42.4114, - 49.2198, - 43.2365, - 49.214, - 43.5373, - 51.7506, - 45.2187, - 50.5429, - 55.5455, - 50.6289, - 46.6364, - 45.7194, - 45.7668, - 38.4806, - 39.2465, - 35.5526, - 37.5134, - 33.5754, - 39.4006, - 24.6627, - 40.6223, - 33.0678, - 40.9803, - 41.2902, - 48.2137, - 43.7281, - 51.6788, - 45.943, - 52.7711, - 46.2324, - 51.7696, - 41.3901, - 58.478, - 47.3869, - 47.3977, - 44.4679, - 39.991, - 37.286, - 49.923, - 44.828, - 44.6282, - 38.9362, - 47.0827, - 68.3536, - 81.0228, - 170.196, - 170.126, - 175.03, - 182.358, - 181.258, - 190.342, - 182.748, - 172.862, - 174.714, - 155.024, - 104.185, - 114.004, - 95.1747, - 93.3787, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.9698, - 82.7252, - 170.247, - 169.862, - 166.294, - 170.961, - 170.51, - 179.711, - 179.086, - 181.747, - 186.517, - 166.365, - 108.461, - 114.837, - 94.2927, - 90.8465, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1259, - 66.2948, - 142.839, - 137.14, - 137.998, - 144.772, - 147.424, - 158.352, - 157.649, - 161.553, - 168.648, - 153.012, - 98.1798, - 101.503, - 80.4461, - 77.1089, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.4571, - 59.287, - 135.191, - 133.021, - 136.841, - 146.066, - 150.061, - 161.373, - 162.71, - 168.874, - 177.571, - 161.949, - 106.734, - 111.191, - 89.1601, - 84.2606, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.4901, - 52.1672, - 81.718, - 87.1399, - 88.5327, - 93.8173, - 97.2944, - 100.286, - 72.9623, - 74.8143, - 78.2353, - 66.7027, - 38.5575, - 44.3914, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 26.625, - 38.3819, - 35.0121, - 37.3239, - 39.6494, - 42.3622, - 40.4722, - 41.9708, - 40.438, - 42.1871, - 40.2419, - 37.7698, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.665, - 63.0871, - 139.164, - 136.008, - 139.765, - 148.789, - 151.506, - 162.108, - 162.81, - 167.866, - 175.352, - 159.845, - 107.686, - 110.156, - 88.84, - 84.7485, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.57, - 62.1903, - 139.665, - 137.439, - 146.16, - 155.907, - 157.758, - 168.679, - 168.941, - 173.155, - 179.648, - 161.908, - 107.204, - 108.056, - 87.2314, - 85.0369, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5635, - 65.1211, - 144.1, - 143.306, - 152.966, - 163.04, - 165.568, - 177.386, - 178.086, - 180.615, - 187.087, - 168.082, - 111.046, - 113.324, - 91.73, - 88.5886, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.5353, - 70.5196, - 147.227, - 142.922, - 146.641, - 152.098, - 150.493, - 157.365, - 155.751, - 156.37, - 159.539, - 144.029, - 94.0475, - 99.2877, - 80.8626, - 78.383, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.9237, - 61.218, - 136.811, - 134.204, - 137.861, - 145.77, - 148.382, - 158.182, - 158.236, - 162.519, - 169.28, - 154.223, - 103.192, - 108.057, - 89.074, - 86.7985, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.0167, - 48.8811, - 79.475, - 87.0995, - 91.2371, - 97.4566, - 99.244, - 99.8961, - 70.9309, - 71.3896, - 73.1924, - 62.9195, - 41.6023, - 41.5555, - 39.0719, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 25.9684, - 38.6545, - 36.9889, - 42.3147, - 36.8098, - 43.4019, - 38.8707, - 44.1575, - 38.8925, - 43.8659, - 38.457, - 38.1652, - 36.8256, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.8754, - 55.6984, - 128.385, - 124.736, - 128.6, - 136.345, - 139.696, - 149.996, - 150.244, - 154.838, - 162.169, - 144.885, - 94.5631, - 95.4062, - 74.8387, - 70.3809, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.3533, - 59.3704, - 131.8, - 126.771, - 129.179, - 136.653, - 140.074, - 150.223, - 149.306, - 151.38, - 155.952, - 137.874, - 89.496, - 91.2082, - 71.6088, - 68.2371, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.5145, - 59.8162, - 132.202, - 128.148, - 131.643, - 138.954, - 141.423, - 150.454, - 149.405, - 152.197, - 157.582, - 141.112, - 93.2033, - 95.7944, - 75.591, - 70.908, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1675, - 57.1151, - 127.558, - 126.84, - 135.297, - 146.677, - 150.604, - 160.103, - 159.802, - 165.264, - 173.031, - 156.734, - 104.788, - 103.796, - 81.2013, - 74.7141, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.5157, - 57.0065, - 130.553, - 130.625, - 140.224, - 152.92, - 156.757, - 169.636, - 171.111, - 176.9, - 184.412, - 165.89, - 111.399, - 110.72, - 88.5851, - 83.127, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.8516, - 46.551, - 77.7673, - 88.5776, - 95.4283, - 103.711, - 107.776, - 110.921, - 83.5935, - 85.1069, - 87.178, - 73.1912, - 45.0885, - 42.4597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.091, - 37.3983, - 38.5478, - 42.3876, - 42.2935, - 47.2746, - 47.0837, - 51.2853, - 48.4349, - 52.3097, - 48.0048, - 55.4498, - 48.6646, - 42.501, - 41.1212, - 33.5754, - 38.2862, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.0797, - 69.4193, - 154.601, - 158.198, - 166.803, - 177.072, - 179.255, - 191.397, - 194.168, - 199.488, - 205.296, - 183.341, - 126.491, - 120.901, - 95.9272, - 89.8583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.0303, - 69.7739, - 156.544, - 160.504, - 170.77, - 183.428, - 186.023, - 198.74, - 200.959, - 205.846, - 211.247, - 188.342, - 130.249, - 123.783, - 98.4286, - 92.2971, - 41.6166, - 41.9734, - 40.4334, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.6208, - 72.7506, - 158.489, - 161.143, - 169.413, - 180.156, - 183.308, - 198.43, - 202.572, - 207.821, - 212.641, - 188.503, - 129.776, - 123.196, - 99.019, - 94.6956, - 41.6166, - 44.3273, - 38.9362, - 43.928, - 38.9362, - 38.9362, - 38.9362, - 45.8383, - 64.596, - 74.8103, - 164.623, - 166.015, - 174.575, - 185.642, - 187.617, - 200.787, - 203.993, - 208.983, - 213.441, - 189.872, - 131.372, - 125.1, - 100.244, - 94.901, - 41.6166, - 44.2148, - 40.6097, - 42.2053, - 38.9362, - 38.9362, - 43.3734, - 41.6166, - 65.2067, - 73.6573, - 160.988, - 164.809, - 174.434, - 185.759, - 187.449, - 200.404, - 203.903, - 209.014, - 213.488, - 189.745, - 131.276, - 125.102, - 101.175, - 97.414, - 45.4534, - 42.5778, - 42.3984, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 62.744, - 55.0407, - 86.9558, - 97.5896, - 105.833, - 115.434, - 120.585, - 125.116, - 95.9509, - 96.7417, - 97.4233, - 81.6346, - 48.8256, - 47.5436, - 40.3227, - 39.64, - 37.4331, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 20.1719, - 25.6276, - 31.9693, - 31.5822, - 38.7868, - 37.4961, - 44.2482, - 39.8036, - 45.5593, - 40.3097, - 45.3344, - 43.6775, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.1518, - 56.9907, - 131.012, - 131.421, - 140.852, - 152.365, - 155.988, - 167.422, - 168.094, - 172.533, - 178.454, - 159.371, - 111.394, - 107.065, - 85.1635, - 80.5454, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.7241, - 60.577, - 135.704, - 135.434, - 144.362, - 154.924, - 158.367, - 170.544, - 172.569, - 177.51, - 183.482, - 165.165, - 117.313, - 113.553, - 91.7146, - 87.583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4419, - 64.3307, - 141.258, - 139.92, - 148.044, - 159.333, - 162.077, - 176.676, - 179.003, - 181.664, - 185.123, - 163.77, - 116.48, - 113.412, - 90.9948, - 85.6355, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.746, - 63.9027, - 140.09, - 140.719, - 150.38, - 160.583, - 163.43, - 175.717, - 176.27, - 177.369, - 178.099, - 158.408, - 115.173, - 112.87, - 91.4517, - 87.768, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.237, - 66.1249, - 143.366, - 141.158, - 150.097, - 159.625, - 160.392, - 168.968, - 166.219, - 166.629, - 169.473, - 151.276, - 108.295, - 106.343, - 87.0961, - 85.4366, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 49.864, - 43.4675, - 71.7492, - 78.8618, - 82.3028, - 88.4725, - 90.9685, - 90.932, - 62.229, - 61.0073, - 59.4274, - 49.1988, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 19.7076, - 31.681, - 32.2047, - 38.485, - 33.0662, - 42.1867, - 38.2387, - 43.4806, - 38.7768, - 36.7903, - 38.5198, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 25.3508, - 30.7673, - 32.7306, - 37.465, - 39.8992, - 39.5392, - 41.9212, - 40.9873, - 43.1902, - 41.2366, - 44.3939, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.618, - 59.0242, - 131.871, - 130.507, - 137.836, - 148.637, - 152.657, - 164.27, - 164.987, - 168.923, - 173.96, - 154.434, - 110.14, - 103.105, - 80.8263, - 75.5258, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.6475, - 57.9848, - 130.439, - 130.532, - 139.786, - 151.225, - 155.046, - 166.251, - 165.839, - 168.663, - 172.689, - 153.03, - 109.24, - 102.682, - 80.4704, - 74.822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.7852, - 57.5463, - 129.876, - 129.889, - 139.373, - 150.596, - 152.793, - 162.025, - 162.733, - 167.264, - 172.652, - 153.462, - 109.037, - 101.341, - 78.8495, - 72.9751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4737, - 57.6887, - 129.707, - 129.516, - 139.048, - 151.044, - 155.496, - 167.615, - 168.156, - 172.089, - 177.028, - 155.951, - 109.521, - 100.77, - 78.4132, - 73.6942, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.7376, - 42.6857, - 70.6339, - 78.9823, - 85.3168, - 94.3621, - 98.9358, - 102.21, - 75.0765, - 74.8579, - 75.4814, - 61.6135, - 35.9619, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 22.072, - 30.1981, - 32.2823, - 39.2714, - 42.8957, - 43.5271, - 46.8531, - 44.6373, - 46.7388, - 43.4056, - 39.6717, - 37.0879, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4657, - 58.0903, - 130.288, - 131.094, - 141.773, - 153.251, - 157.664, - 169.745, - 170.106, - 172.848, - 176.149, - 155.205, - 113.493, - 105.281, - 83.841, - 78.8944, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.6625, - 59.6821, - 133.043, - 133.997, - 143.227, - 154.334, - 157.757, - 169.02, - 169.426, - 172.232, - 175.548, - 154.298, - 112.567, - 103.536, - 82.148, - 77.8491, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5019, - 58.6716, - 131.694, - 131.901, - 140.553, - 152.198, - 156.305, - 167.252, - 164.674, - 165.536, - 169.221, - 151.37, - 113.424, - 106.892, - 86.4543, - 82.5826, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1658, - 59.8721, - 133.158, - 132.57, - 140.109, - 144.527, - 142.785, - 150.76, - 148.048, - 144.695, - 145.241, - 129.063, - 98.8065, - 92.9612, - 74.7919, - 72.4367, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.2639, - 57.5446, - 126.422, - 124.585, - 129.688, - 137.296, - 139.939, - 147.115, - 145.831, - 148.947, - 154.322, - 137.01, - 103.331, - 98.2235, - 80.1669, - 77.3086, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.973, - 40.9816, - 67.1259, - 73.9024, - 77.0225, - 82.8645, - 84.5272, - 84.4294, - 56.5795, - 55.1059, - 55.1031, - 45.2891, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 22.1999, - 34.5369, - 35.7034, - 38.084, - 37.2527, - 38.9203, - 36.7207, - 32.2255, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.261, - 59.7283, - 128.766, - 125.528, - 129.904, - 139.063, - 145.432, - 153.708, - 152.691, - 156.546, - 158.002, - 139.534, - 102.772, - 94.8214, - 74.8327, - 71.2853, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.941, - 57.8949, - 127.911, - 125.33, - 132.007, - 142.564, - 148.849, - 159.8, - 159.5, - 162.58, - 166.638, - 148.998, - 106.662, - 97.9036, - 76.9297, - 72.6832, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4554, - 58.0579, - 128.346, - 126.164, - 132.655, - 143.22, - 148.846, - 159.463, - 159.633, - 163.557, - 168.312, - 150.523, - 107.713, - 98.2841, - 76.9482, - 72.654, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.3871, - 56.1121, - 126.516, - 124.749, - 131.16, - 141.454, - 147.396, - 158.539, - 158.873, - 163.271, - 168.513, - 150.666, - 108.289, - 99.2357, - 79.244, - 76.2735, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.0569, - 58.4865, - 128.073, - 122.333, - 124.24, - 132.6, - 135.863, - 140.863, - 138.111, - 140.216, - 144.094, - 130.291, - 98.0194, - 92.6835, - 73.5902, - 70.0135, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 55.4484, - 47.5295, - 68.7474, - 67.4079, - 66.2252, - 70.5351, - 72.19, - 71.8777, - 44.8802, - 44.9103, - 46.7946, - 43.7703, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.5183, - 29.1952, - 32.8783, - 34.2161, - 29.8992, - 19.8317, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4217, - 73.522, - 127.884, - 117.287, - 117.576, - 122.589, - 125.968, - 132.455, - 131.446, - 132.993, - 137.568, - 124.207, - 91.2675, - 84.896, - 69.4161, - 68.7621, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.0101, - 74.7318, - 127.005, - 116.056, - 116.843, - 123.564, - 128.612, - 136.509, - 136.04, - 137.897, - 143.255, - 127.995, - 92.827, - 84.9527, - 68.3781, - 68.2545, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.7985, - 73.2803, - 125.888, - 116.456, - 119.148, - 126.412, - 131.549, - 139.786, - 138.742, - 140.214, - 144.989, - 129.032, - 93.9899, - 86.9202, - 69.1429, - 67.8901, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1745, - 72.2689, - 125.993, - 119.515, - 122.365, - 130.581, - 136.978, - 147.005, - 146.223, - 147.983, - 152.423, - 136.822, - 97.0388, - 89.0893, - 70.572, - 68.6685, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.2383, - 71.4699, - 125.446, - 118.764, - 122.007, - 130.454, - 136.884, - 146.98, - 147.343, - 150.733, - 156.2, - 141.69, - 100.288, - 90.6473, - 71.6186, - 69.2678, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 59.944, - 52.4924, - 67.7256, - 73.4819, - 78.8701, - 86.7889, - 88.8722, - 89.3524, - 60.3137, - 57.9955, - 58.1322, - 54.376, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 22.4707, - 30.1791, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.3097, - 76.8391, - 127.519, - 117.105, - 115.904, - 119.458, - 120.974, - 123.411, - 119.801, - 120.157, - 127.008, - 124.462, - 88.589, - 86.3852, - 74.6652, - 77.0008, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.1916, - 72.6312, - 127.732, - 119.847, - 120.59, - 125.347, - 127.831, - 131.89, - 128.78, - 129.346, - 133.731, - 128.183, - 87.2977, - 85.2861, - 71.8305, - 72.3397, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8689, - 69.3066, - 127.32, - 119.222, - 120.973, - 126.755, - 130.442, - 134.389, - 129.566, - 128.909, - 132.177, - 125.93, - 84.5782, - 82.3879, - 70.5891, - 73.0204, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0021, - 76.3913, - 129.366, - 118.233, - 116.262, - 120.445, - 121.943, - 124.101, - 119.786, - 119.559, - 125.478, - 121.735, - 85.9076, - 85.7267, - 76.2722, - 80.4814, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.0597, - 82.4842, - 130.491, - 118.391, - 116.901, - 121.006, - 123.657, - 126.46, - 122.166, - 121.846, - 127.62, - 125.255, - 88.2466, - 86.7746, - 76.9748, - 79.3884, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 25.5477, - 31.0823, - 32.2828, - 32.9553, - 22.6726, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.5535, - 95.2738, - 133.538, - 120.15, - 119.917, - 124.632, - 128.682, - 132.156, - 127.853, - 128.219, - 136.58, - 130.147, - 92.3651, - 88.6475, - 76.4276, - 79.7062, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 83.5307, - 79.5097, - 129.444, - 118.909, - 119.739, - 126.674, - 132.345, - 138.108, - 134.918, - 135.191, - 140.948, - 131.72, - 89.7184, - 86.8222, - 72.4053, - 72.2565, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.4481, - 72.4592, - 126.736, - 119.181, - 121.675, - 130.656, - 138.5, - 145.204, - 141.087, - 140.645, - 143.673, - 132.354, - 88.1632, - 83.9694, - 69.513, - 70.7331, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.5094, - 66.6109, - 126.391, - 120.227, - 123.41, - 131.874, - 138.316, - 144.478, - 142.469, - 144.328, - 148.87, - 135.078, - 89.1987, - 83.6869, - 68.8725, - 68.9389, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.0378, - 63.4393, - 127.618, - 121.761, - 125.02, - 134.294, - 141.91, - 148.676, - 144.747, - 145.058, - 151.587, - 142.21, - 98.739, - 94.2967, - 78.1007, - 76.5967, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 47.3187, - 45.2722, - 73.0921, - 80.1723, - 84.3367, - 90.0762, - 91.7398, - 88.3912, - 54.836, - 50.1135, - 55.9587, - 57.184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 19.4289, - 21.0097, - 24.4215, - 22.5192, - 19.7415, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.738, - 102.669, - 137.856, - 123.972, - 119.339, - 119.665, - 119.876, - 117.818, - 113.257, - 113.242, - 124.573, - 125.817, - 96.8943, - 99.7664, - 91.3891, - 93.7498, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 110.961, - 98.6396, - 136.274, - 122.907, - 119.332, - 120.349, - 122.97, - 122.675, - 118.809, - 118.838, - 127.342, - 122.209, - 89.8272, - 90.54, - 81.0463, - 82.6037, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 94.1034, - 94.1191, - 135.581, - 121.537, - 121.171, - 125.717, - 129.551, - 132.837, - 128.915, - 129.117, - 138.826, - 134.495, - 97.3665, - 93.6614, - 78.8909, - 77.8295, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 19.8353, - 23.0273, - 27.8795, - 26.8059, - 21.6979, - 21.4008, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.8133, - 84.2545, - 130.528, - 119.266, - 119.426, - 125.485, - 130.36, - 135.432, - 133.502, - 135.116, - 139.853, - 129.065, - 87.4191, - 84.5519, - 72.8685, - 75.5988, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 83.19, - 61.5623, - 73.6895, - 74.9336, - 77.3297, - 84.5303, - 87.6446, - 86.1237, - 58.5974, - 56.6508, - 61.8021, - 61.2189, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 24.7126, - 33.4857, - 36.9278, - 36.2823, - 37.83, - 27.1587, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.6088, - 71.1527, - 130.146, - 123.84, - 124.117, - 128.541, - 131.09, - 134.404, - 130.221, - 130.22, - 138.862, - 131.599, - 91.947, - 88.8011, - 74.8077, - 75.0668, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 70.2025, - 73.4774, - 126.911, - 119.793, - 122.315, - 128.879, - 134.0, - 140.083, - 135.93, - 135.048, - 139.393, - 129.255, - 86.6583, - 83.4962, - 70.0841, - 72.3256, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.6817, - 73.7693, - 128.025, - 117.855, - 118.755, - 124.472, - 127.666, - 132.636, - 129.515, - 129.878, - 137.304, - 129.34, - 88.1288, - 85.5871, - 71.2613, - 71.0198, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8549, - 75.6644, - 127.325, - 119.991, - 121.515, - 128.255, - 132.946, - 137.602, - 132.644, - 131.563, - 138.128, - 129.321, - 87.5697, - 84.1435, - 70.062, - 72.2373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.044, - 94.4318, - 135.886, - 121.886, - 118.155, - 119.194, - 118.73, - 116.641, - 112.647, - 112.623, - 123.708, - 123.555, - 93.4249, - 96.4195, - 88.614, - 91.4584, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.462, - 96.0492, - 93.8856, - 88.2251, - 84.7254, - 84.5289, - 80.8154, - 72.9443, - 47.6319, - 47.4507, - 61.843, - 76.1884, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.7678, - 39.7392, - 34.0452, - 22.7394, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5481, - 42.708, - 43.4169, - 45.7942, - 45.0442, - 47.5569, - 48.6458, - 174.849, - 153.449, - 177.673, - 147.391, - 138.446, - 135.236, - 134.479, - 124.883, - 117.458, - 116.642, - 128.417, - 131.807, - 103.501, - 105.083, - 100.197, - 104.543, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.716, - 130.147, - 163.64, - 144.764, - 136.399, - 132.799, - 135.096, - 126.625, - 119.956, - 122.185, - 135.585, - 136.796, - 107.765, - 107.344, - 98.3049, - 100.079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.637, - 106.366, - 139.745, - 124.877, - 119.956, - 120.732, - 121.123, - 117.948, - 113.594, - 113.361, - 125.578, - 126.851, - 96.1341, - 98.1574, - 88.7883, - 91.4962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.558, - 103.439, - 139.968, - 125.361, - 123.454, - 125.02, - 126.631, - 123.953, - 119.157, - 114.755, - 127.02, - 129.035, - 100.066, - 101.623, - 92.802, - 94.2563, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 107.704, - 93.0854, - 132.757, - 122.881, - 122.966, - 126.735, - 128.363, - 128.619, - 123.695, - 123.212, - 132.763, - 130.237, - 93.9888, - 89.7531, - 79.1855, - 80.1595, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 101.81, - 76.0559, - 81.1263, - 78.6627, - 76.5332, - 80.1479, - 78.5346, - 73.1357, - 50.0273, - 48.8097, - 59.8428, - 68.167, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.204, - 106.47, - 139.239, - 123.677, - 120.589, - 125.521, - 128.534, - 128.732, - 125.019, - 124.147, - 131.156, - 123.959, - 90.0218, - 91.3038, - 82.2888, - 84.6191, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.387, - 90.1349, - 131.867, - 119.908, - 120.33, - 125.113, - 127.775, - 130.39, - 126.099, - 124.502, - 131.187, - 122.747, - 86.2379, - 87.0879, - 77.8866, - 80.6119, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.5569, - 86.5121, - 130.962, - 118.795, - 118.531, - 125.894, - 129.412, - 131.609, - 128.061, - 126.2, - 130.611, - 122.206, - 85.1413, - 85.4294, - 75.5376, - 78.2451, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.6874, - 81.2401, - 129.486, - 118.235, - 118.087, - 122.448, - 125.24, - 130.742, - 129.072, - 127.44, - 131.252, - 122.608, - 85.2104, - 85.6105, - 75.2164, - 78.2822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.5747, - 83.2297, - 130.295, - 118.614, - 117.865, - 124.541, - 127.485, - 130.294, - 126.595, - 124.66, - 128.393, - 119.99, - 86.4495, - 87.4627, - 77.4643, - 80.062, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 105.852, - 77.818, - 82.059, - 78.4418, - 77.7328, - 80.9114, - 79.8116, - 74.8111, - 47.9128, - 49.0545, - 58.6146, - 65.9774, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.4555, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 148.924, - 123.952, - 150.773, - 131.07, - 124.398, - 126.319, - 131.069, - 129.249, - 125.114, - 124.165, - 130.74, - 125.235, - 94.2605, - 97.233, - 89.9435, - 93.3676, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 123.639, - 104.539, - 138.192, - 122.768, - 118.668, - 122.101, - 125.639, - 125.343, - 122.298, - 121.629, - 126.607, - 123.003, - 92.3402, - 93.3157, - 85.1471, - 88.1028, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.703, - 96.0474, - 134.96, - 121.46, - 117.841, - 122.672, - 125.775, - 127.79, - 125.056, - 123.622, - 128.198, - 121.315, - 87.6117, - 87.8139, - 78.0084, - 80.9289, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.3591, - 83.8439, - 129.812, - 120.692, - 122.24, - 126.446, - 128.608, - 132.154, - 128.418, - 124.915, - 127.402, - 119.953, - 86.341, - 87.2747, - 77.3827, - 80.8281, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.5284, - 84.3464, - 130.461, - 118.571, - 115.153, - 116.781, - 117.17, - 116.399, - 112.798, - 112.438, - 123.218, - 122.469, - 90.8552, - 93.1162, - 85.1782, - 87.3454, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 124.867, - 95.2851, - 93.4602, - 87.425, - 83.6816, - 84.3425, - 80.4443, - 73.4037, - 48.2827, - 47.9516, - 62.6055, - 77.8954, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.3025, - 37.793, - 22.5549, - 20.2539, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3076, - 39.4666, - 39.2393, - 41.3982, - 40.3823, - 43.4003, - 39.4045, - 26.4328, - 22.573, - 22.1928, - 19.3614, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3223, - 41.8002, - 44.4458, - 43.941, - 46.0452, - 45.2631, - 50.5404, - 184.05, - 157.579, - 182.405, - 158.086, - 147.42, - 146.227, - 151.141, - 141.751, - 136.294, - 134.65, - 145.47, - 147.7, - 120.624, - 119.31, - 112.66, - 115.181, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 139.677, - 124.682, - 152.342, - 129.812, - 123.939, - 126.263, - 128.578, - 124.587, - 119.881, - 118.743, - 128.723, - 128.17, - 96.8937, - 96.6911, - 88.0193, - 89.5504, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.2845, - 96.3731, - 143.728, - 133.032, - 131.387, - 128.042, - 125.128, - 123.904, - 120.515, - 121.101, - 132.352, - 132.045, - 101.204, - 100.116, - 90.1973, - 90.926, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.381, - 92.1395, - 134.191, - 127.398, - 124.534, - 121.291, - 121.403, - 119.586, - 113.14, - 113.142, - 124.211, - 124.255, - 93.5647, - 95.4133, - 86.2237, - 87.6581, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 115.837, - 86.2428, - 87.0184, - 83.278, - 81.0576, - 82.4189, - 78.4133, - 71.2169, - 51.699, - 52.4791, - 64.6016, - 75.8291, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754 - ], - "loan_moratorium": 0.0, - "mera_cost1": 0.0, - "mera_cost2": 0.0, - "mera_cost3": 0.0, - "mera_name1": "Replacement Reserve 1", - "mera_name2": "Replacement Reserve 2", - "mera_name3": "Replacement Reserve 3", - "months_receivables_reserve": 0.0, - "months_working_reserve": 6.0, - "mpeak": [ - 234.676, - 173.422, - 172.007, - 191.434, - 198.295, - 236.469, - 274.231, - 260.336, - 226.751, - 185.123, - 156.2, - 184.05 - ], - "nominal_discount_rate": 8.1375, - "normalize_to_utility_bill": 0.0, - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 - ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "peak_1": 234.676, - "peak_10": 185.123, - "peak_11": 156.2, - "peak_12": 184.05, - "peak_2": 173.422, - "peak_3": 172.007, - "peak_4": 191.434, - "peak_5": 198.295, - "peak_6": 236.469, - "peak_7": 274.231, - "peak_8": 260.336, - "peak_9": 226.751, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.0 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_assessed_value": 24304.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "pv_system_capacity": 4.0, - "pv_total_installed_cost": 0.0, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "run_resiliency_calcs": 0.0, - "sales_tax_rate": 0.0, - "salvage_percentage": 0.0, - "salvage_value": 0.0, - "scale_factor": 1.0, - "show_CapitalCostSO": 0.0, - "show_DebtConstDSCR": 0.0, - "show_ReserveAccounts": 0.0, - "show_construction_period": 1.0, - "solution_mode_message": "", - "state_tax_rate": [ - 7.0 - ], - "system_capacity": 25.304, - "term_int_rate": 0.0, - "term_tenor": 0.0, - "tod_library": "Uniform Dispatch", - "total_installed_cost": 24304.0, - "ui_annual_load": 0.0, - "ui_wacc": 4.06875, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_is_shown": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_cr_sched": [ - [ - 0.0 - ] - ], - "ur_cr_tou_mat": [ - [ - 0.0 - ] - ], - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_dc_is_shown": 1.0, - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_demand_attrs": "", - "ur_demand_history": 0.0, - "ur_demand_max": 0.0, - "ur_demand_min": 0.0, - "ur_demand_reactive_power_charge": 0.0, - "ur_demand_window": 0.0, - "ur_desc_is_shown": 0.0, - "ur_description": "", - "ur_ec_is_shown": 1.0, - "ur_ec_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_tou_mat": [ - [ - 1.0, - 1.0, - 9.6, - 2.0, - 0.16756, - 0.0 - ], - [ - 1.0, - 2.0, - 12.48, - 2.0, - 0.17999, - 0.0 - ], - [ - 1.0, - 3.0, - 19.2, - 2.0, - 0.34805, - 0.0 - ], - [ - 1.0, - 4.0, - 1e38, - 2.0, - 0.45718, - 0.0 - ], - [ - 2.0, - 1.0, - 9.6, - 2.0, - 0.14747, - 0.0 - ], - [ - 2.0, - 2.0, - 12.48, - 2.0, - 0.1599, - 0.0 - ], - [ - 2.0, - 3.0, - 19.2, - 2.0, - 0.3134, - 0.0 - ], - [ - 2.0, - 4.0, - 1e38, - 2.0, - 0.34283, - 0.0 - ], - [ - 3.0, - 1.0, - 10.1, - 2.0, - 0.14982, - 0.0 - ], - [ - 3.0, - 2.0, - 13.13, - 2.0, - 0.16225, - 0.0 - ], - [ - 3.0, - 3.0, - 20.2, - 2.0, - 0.29989, - 0.0 - ], - [ - 3.0, - 4.0, - 1e38, - 2.0, - 0.35231, - 0.0 - ], - [ - 4.0, - 1.0, - 10.1, - 2.0, - 0.14747, - 0.0 - ], - [ - 4.0, - 2.0, - 13.13, - 2.0, - 0.1599, - 0.0 - ], - [ - 4.0, - 3.0, - 20.2, - 2.0, - 0.29603, - 0.0 - ], - [ - 4.0, - 4.0, - 1e38, - 2.0, - 0.34241, - 0.0 - ] - ], - "ur_en_ts_buy_rate": 0.0, - "ur_en_ts_sell_rate": 0.0, - "ur_enable_billing_demand": 0.0, - "ur_end_date": "empty", - "ur_energy_attrs": "", - "ur_energy_history": 0.0, - "ur_energy_max": 0.0, - "ur_energy_min": 0.0, - "ur_fixed_attrs": "", - "ur_fuel_adjustments_monthly": [ - 0.0 - ], - "ur_has_unused_items": 0.0, - "ur_is_default": 0.0, - "ur_metering_option": 0.0, - "ur_monthly_fixed_charge": 0.0, - "ur_monthly_min_charge": 0.0, - "ur_name": "", - "ur_nm_credit_month": 0.0, - "ur_nm_credit_rollover": 0.0, - "ur_nm_yearend_sell_rate": 0.0, - "ur_phase_wiring": "", - "ur_rate_notes": "", - "ur_ratedata_filename": "", - "ur_schedule_name": "empty", - "ur_service_type": "", - "ur_source": "empty", - "ur_start_date": "empty", - "ur_ts_buy_rate": [ - 0.0 - ], - "ur_ts_sell_rate": [ - 0.0 - ], - "ur_unused_is_shown": 0.0, - "ur_uri": "empty", - "ur_utility": "empty", - "ur_voltage_category": "", - "ur_voltage_max": 0.0, - "ur_voltage_min": 0.0, - "ur_yearzero_usage_peaks": [ - 0.0 - ], - "utility_bill_data": [ - 300.0, - 300.0, - 300.0, - 300.0, - 300.0, - 400.0, - 500.0, - 500.0, - 400.0, - 300.0, - 300.0, - 300.0 - ], - "wind_system_capacity": 1.0, - "wind_total_installed_cost": 4000.0 - } - } -} \ No newline at end of file + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], + "pvwattsv8" : { + "inverter_num_units" : 1, + "grid_percent" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "permitting_per_watt" : 0.029999999999999999, + "permitting_total" : 16200, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "shading_mxh" : [ [ 0 ] ], + "bos_equip_fixed" : 0, + "om_fixed_escal" : 0, + "installed_per_capacity" : 1.7559200000000001, + "om_production" : [ 0 ], + "land_area_value" : 2.3409962811973517, + "losses" : 14.075660688264469, + "module_is_bifacial" : 0, + "land_per_acre" : 0, + "install_labor_fixed" : 0, + "land_percent" : 0, + "inverter_costunits" : 1, + "landprep_fixed" : 0, + "install_labor_perarea" : 0, + "loss_shading" : 3, + "ui_total_module_area" : 2842.1052631578946, + "shading_en_mxh" : 0, + "contingency_percent" : 4, + "ui_ac_capacity" : 0.46956521739130436, + "total_installed_cost" : 948196.80000000005, + "module_total" : 232200, + "solar_data_source" : "NSRDB", + "tilt" : 20, + "adjust_en_periods" : 0, + "grid_total" : 27000, + "sales_tax_value" : 5, + "modulearray_power" : 540, + "annual_global" : 5.7947616438356171, + "ui_total_land_area_ha" : 0.94736904603199112, + "grid_per_watt" : 0.050000000000000003, + "inverter_total" : 27000, + "dc_ac_ratio" : 1.1499999999999999, + "loss_nameplate" : 1, + "bos_equip_perwatt" : 0.34999999999999998, + "landprep_per_acre" : 0, + "module_num_units" : 1, + "loss_age" : 0, + "shading_en_diff" : 0, + "engr_total" : 162000, + "ui_land_area_per_mw" : 0, + "inflation_rate" : 2.5, + "grid_fixed" : 0, + "user_specified_weather_file" : "", + "loss_wiring" : 2, + "subtotal_direct" : 680400, + "module_power" : 540, + "inv_eff" : 96, + "install_labor_perwatt" : 0.17999999999999999, + "lat" : 33.450000000000003, + "system_use_lifetime_output" : 0, + "loss_snow" : 0, + "ui_land_area_additional" : 0, + "ac_nameplate" : 469.56521739130437, + "per_module" : 0.42999999999999999, + "om_production_escal" : 0, + "shading_timestep" : [ [ 0 ] ], + "land_per_watt" : 0, + "is_advanced" : 0, + "modulearray_area" : 2842.1052631578946, + "batt_simple_enable" : 0, + "library_paths" : "", + "inverter_power" : 469.56521739130437, + "ui_total_module_area_ha" : 0.28421052631578947, + "analysis_period" : 25, + "azimuth" : 180, + "land_fixed" : 0, + "system_capacity" : 540, + "module_costunits" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_timestep" : 0, + "contingency" : 27216, + "per_inverter" : 0.050000000000000003, + "user_specified_constant_albedo" : 0.20000000000000001, + "bos_equip_total" : 189000, + "lon" : -111.98, + "en_snowloss" : 0, + "shading" : 0, + "annual_beam" : 7.3356438356164393, + "in_location_options" : 0, + "shading_en_azal" : 0, + "total_module_area" : 2842.1052631578946, + "pv_land_area_is_shown" : 0, + "bos_equip_perarea" : 0, + "in_location_list" : "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", + "library_folder_list" : "x", + "annual_twet" : "nan", + "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "landprep_per_watt" : 0, + "sales_tax_rate" : 5, + "install_margin_fixed" : 0, + "use_wf_albedo" : 1, + "total_indirect_cost" : 205200, + "permitting_fixed" : 0, + "permitting_percent" : 0, + "gcr" : 0.29999999999999999, + "om_capacity_escal" : 0, + "install_margin_perarea" : 0, + "land_total" : 0, + "tz" : -7, + "step" : 3600, + "landprep_total" : 0, + "ui_use_acre_per_mw" : 0, + "install_margin_perwatt" : 0.25, + "pvwatts.advanced.is_shown" : 0, + "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "annual_tdry" : 21.938470319634703, + "ui_total_module_area_acres" : 0.70229888435920551, + "adjust_en_timeindex" : 0, + "annual_albedo" : 0.18395068539332038, + "array_type" : 1, + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "wf_nrecords" : 8760, + "shading_diff" : 0, + "en_user_spec_losses" : 0, + "engr_fixed" : 0, + "engr_per_watt" : 0.29999999999999999, + "loss_lid" : 1.5, + "ui_array_land_area_multiplier" : 1, + "total_land_area" : 2.3409962811973517, + "total_direct_cost" : 707616, + "loss_mismatch" : 2, + "shading_string_option" : 0, + "annual_snow" : "nan", + "adjust" : 0, + "use_specific_weather_file" : 0, + "ui_step_minutes" : 60, + "sales_tax_total" : 35380.800000000003, + "bifaciality" : 0, + "landprep_percent" : 0, + "country" : "-", + "module_type" : 0, + "loss_avail" : 3, + "om_fixed" : [ 0 ], + "inverterarray_power" : 469.56521739130437, + "annual_diffuse" : 1.3484328767123288, + "engr_percent" : 0, + "elev" : 358, + "in_time_step" : 0, + "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "install_labor_total" : 97200, + "city" : "-", + "ui_array_land_area_acres" : 2.3409962811973517, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "adjust_constant" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "station_id" : "78208", + "ui_array_land_area_ha" : 0.94736904603199112, + "loss_soiling" : 2, + "annual_wspd" : 1.7864840188646289, + "losses_user" : 14, + "om_capacity" : [ 22 ], + "shading_azal" : [ [ 0 ] ], + "shading_en_string_option" : 0, + "install_margin_total" : 135000, + "state" : "-", + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "degradation" : [ 0.5 ], + "ui_land_area_additional_units" : 0, + "in_nsrdb_options" : 0, + "loss_conn" : 0.5, + "number table entries" : 167 + }, + "hybrid" : { + "om_fixed" : 0, + "cbi_oth_tax_fed" : 1, + "cbi_oth_deprbas_sta" : 0, + "energy_2" : 48557.315400000007, + "depr_alloc_sl_5_percent" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "crit_7" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "energy_12" : 54338.530100000084, + "ur_rate_notes" : "", + "ac_nameplate" : 469.565, + "mpeak" : [ 234.67599999999999, 173.422, 172.00700000000001, 191.434, 198.29499999999999, 236.46899999999999, 274.23099999999999, 260.33600000000001, 226.751, 185.12299999999999, 156.19999999999999, 184.05000000000001 ], + "crit_9" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "pbi_uti_for_ds" : 0, + "ur_billing_demand_lookback_period" : 0, + "ui_generic_cost" : 0, + "cbi_uti_deprbas_fed" : 0, + "ibi_fed_amount" : 0, + "depr_bonus_fed_macrs_15" : 0, + "pbi_uti_amount" : [ 0 ], + "lib_dispatch_factor1" : 1, + "ibi_uti_percent_maxvalue" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ptc_fed_escal" : 0, + "peak_12" : 184.05000000000001, + "crit_2" : 0, + "ur_schedule_name" : "empty", + "depr_bonus_sta_macrs_15" : 0, + "ui_fuel_cell_cost" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "equip3_reserve_cost" : 0, + "load_user_data" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "prop_tax_cost_assessed_percent" : 100, + "ibi_fed_amount_deprbas_sta" : 0, + "peak_4" : 191.434, + "depr_fedbas_method" : 1, + "ptc_fed_term" : 10, + "ur_has_unused_items" : 0, + "crit_11" : 0, + "escal_input_hourly" : [ 0 ], + "energy_8" : 77555.05109999991, + "peak_6" : 236.46899999999999, + "ibi_uti_amount_deprbas_sta" : 0, + "ur_metering_option" : 0, + "debt_option" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "ui_annual_load" : 0, + "cbi_fed_tax_fed" : 1, + "ur_demand_window" : 0, + "ur_billing_demand_minimum" : 0, + "om_capacity" : 0, + "cost_debt_fee" : 2.75, + "ibi_uti_percent_tax_fed" : 1, + "depr_alloc_sl_20_percent" : 0, + "nominal_discount_rate" : 9.0600000000000023, + "ppa_multiplier_model" : 0, + "ur_is_default" : 0, + "ibi_fed_percent_tax_sta" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ts_sell_rate" : [ 0 ], + "crit_6" : 0, + "show_debtconstdscr" : 1, + "cbi_fed_deprbas_fed" : 0, + "ur_energy_min" : 0, + "enable_interconnection_limit" : 0, + "battery_total_installed_cost" : 391808.35863000003, + "crit_5" : 0, + "ibi_fed_percent_maxvalue" : 0, + "ibi_sta_amount" : 0, + "batt_power_discharge_max_kwac" : 288.05025600000005, + "const_per_name3" : "Loan 3", + "ur_voltage_max" : 0, + "cbi_fed_tax_sta" : 1, + "const_per_total3" : 0, + "payment_option" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "peak_7" : 274.23099999999999, + "ur_source" : "empty", + "pbi_fed_amount" : [ 0 ], + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "peak_9" : 226.751, + "is_btm" : 0, + "ur_monthly_fixed_charge" : 0, + "pbi_sta_tax_fed" : 1, + "ppa_escalation" : 1, + "crit_3" : 0, + "wind_total_installed_cost" : 464400, + "energy_7" : 77708.464099999896, + "crit_load_type" : 0, + "ur_en_ts_buy_rate" : 0, + "ur_demand_attrs" : "", + "peak_10" : 185.12299999999999, + "prop_tax_assessed_decline" : 0, + "energy_5" : 60460.74550000007, + "depr_itc_sta_sl_39" : 0, + "ibi_oth_percent_maxvalue" : 0, + "ur_service_type" : "", + "const_per_interest4" : 0, + "ur_name" : "", + "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_salvage_value" : 0, + "depr_alloc_none" : 0, + "system_capacity" : 569.56500000000005, + "load_escalation" : [ 0 ], + "depr_itc_fed_sl_39" : 0, + "const_per_interest3" : 0, + "lib_dispatch_factor8" : 1, + "depr_itc_fed_custom" : 0, + "ibi_sta_percent_maxvalue" : 0, + "depr_bonus_sta_sl_20" : 0, + "cbi_uti_tax_fed" : 1, + "ppa_soln_mode" : 1, + "ibi_oth_percent_tax_fed" : 1, + "ur_start_date" : "empty", + "om_production" : 0, + "ui_generic_capacity" : 0, + "const_per_upfront_rate2" : 0, + "chk_update_peaks" : 0, + "const_per_months5" : 0, + "pbi_oth_tax_fed" : 1, + "equip_reserve_depr_sta" : 0, + "depr_itc_fed_macrs_15" : 0, + "ibi_sta_percent_tax_fed" : 1, + "energy_3" : 55750.081999999988, + "const_per_interest_rate1" : 6.5, + "const_per_name1" : "Loan 1", + "depr_itc_sta_sl_20" : 0, + "salvage_value" : 0, + "property_tax_rate" : 0, + "crit_10" : 0, + "pbi_fed_escal" : 0, + "depr_bonus_fed_sl_39" : 0, + "peak_1" : 234.67599999999999, + "ptc_fed_amount" : [ 0 ], + "const_per_months1" : 6, + "depr_alloc_macrs_15_percent" : 0, + "mera_cost1" : 56956.500000000007, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_percent1" : 100, + "ibi_fed_amount_tax_sta" : 1, + "const_per_total2" : 0, + "const_per_percent_total" : 100, + "equip_reserve_depr_fed" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "depr_bonus_fed_sl_5" : 0, + "ui_hyb_pv_capacity" : 469.565, + "wind_system_capacity" : 100, + "ur_desc_is_shown" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "const_per_interest_rate4" : 0, + "const_per_upfront_rate1" : 1, + "ur_unused_is_shown" : 0, + "annual_peak" : 274.23099999999999, + "const_per_name5" : "Loan 5", + "cbi_sta_amount" : 0, + "crit_load_user_data" : [ 0 ], + "show_capitalcostso" : 1, + "depr_bonus_sta_sl_5" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "ur_billing_demand_is_shown" : 0, + "depr_itc_fed_sl_5" : 0, + "ibi_uti_amount_tax_fed" : 1, + "depr_itc_sta_sl_15" : 0, + "cbi_uti_amount" : 0, + "normalize_to_utility_bill" : 0, + "rate_escalation" : [ 0 ], + "inflation_rate" : 2.5, + "depr_bonus_fed_sl_20" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed" : 0, + "ibi_uti_percent_tax_sta" : 1, + "pbi_oth_for_ds" : 0, + "grid_interconnection_limit_kwac" : 20000, + "ibi_oth_percent_deprbas_fed" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ibi_fed_percent" : 0, + "ui_wind_cost" : 464400, + "ibi_fed_percent_deprbas_sta" : 0, + "ur_energy_max" : 0, + "pv_total_installed_cost" : 948196.80000000005, + "load_model" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "ur_dc_is_shown" : 1, + "cbi_oth_amount" : 0, + "cbi_oth_tax_sta" : 1, + "ibi_oth_percent_tax_sta" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], + "ur_description" : "", + "cbi_sta_deprbas_sta" : 0, + "ur_demand_max" : 0, + "cost_debt_closing" : 0, + "ur_energy_history" : 0, + "itc_fed_percent" : [ 30 ], + "peak_2" : 173.422, + "cbi_oth_maxvalue" : 0, + "crit_4" : 0, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "crit_8" : 0, + "ur_phase_wiring" : "", + "pbi_sta_term" : 0, + "batt_minimum_outage_soc" : 10, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ur_end_date" : "empty", + "pbi_sta_escal" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "pbi_oth_escal" : 0, + "const_per_principal_total" : 1804405.15863, + "dscr_reserve_months" : 0, + "total_installed_cost" : 1804405.15863, + "lib_dispatch_factor3" : 1, + "ibi_oth_amount_tax_fed" : 1, + "depr_itc_sta_macrs_15" : 0, + "depr_bonus_fed_sl_15" : 0, + "const_per_principal2" : 0, + "cbi_uti_tax_sta" : 1, + "depr_alloc_sl_15_percent" : 0, + "host_nominal_discount_rate" : 9.0600000000000023, + "ibi_sta_percent_deprbas_sta" : 0, + "cbi_fed_maxvalue" : 0, + "const_per_upfront_rate3" : 0, + "crit_load_escalation" : [ 0 ], + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "load_annual_total" : 726208.38439997181, + "show_construction_period" : 1, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "itc_fed_amount" : [ 0 ], + "escal_belpe" : [ 0 ], + "ur_energy_attrs" : "", + "cbi_uti_deprbas_sta" : 0, + "loan_moratorium" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "peak_11" : 156.19999999999999, + "const_per_principal5" : 0, + "pbi_uti_term" : 0, + "ptc_sta_escal" : 0, + "property_assessed_value" : 1804405.15863, + "ppa_price_input" : [ 0.153 ], + "pbi_uti_escal" : 0, + "sales_tax_rate" : 5, + "ptc_sta_amount" : [ 0 ], + "annual_crit" : 0, + "const_per_total5" : 0, + "flip_target_year" : 20, + "itc_sta_amount_deprbas_sta" : 0, + "pbi_oth_term" : 0, + "const_per_interest_rate2" : 0, + "pbi_uti_tax_fed" : 1, + "energy_9" : 61793.676699999931, + "const_per_total1" : 47365.635414037504, + "energy_10" : 57692.479699999887, + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "ur_utility" : "empty", + "crit_load_pct" : 0, + "ur_annual_min_charge" : 0, + "construction_financing_cost" : 47365.635414037504, + "itc_sta_percent_deprbas_fed" : 0, + "depr_stabas_method" : 1, + "const_per_upfront_rate4" : 0, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "ibi_sta_amount_deprbas_sta" : 0, + "ui_fuel_cell_capacity" : 0, + "grid_outage" : [ 0 ], + "cbi_sta_tax_sta" : 1, + "ur_nm_yearend_sell_rate" : 0, + "const_per_months3" : 0, + "depr_itc_fed_sl_20" : 0, + "utility_bill_data" : [ 300, 300, 300, 300, 300, 400, 500, 500, 400, 300, 300, 300 ], + "ur_ec_is_shown" : 1, + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_enable_billing_demand" : 0, + "const_per_interest_rate5" : 0, + "depr_itc_fed_macrs_5" : 0, + "ur_demand_history" : 0, + "ui_pv_cost" : 948196.80000000005, + "term_int_rate" : 7, + "show_reserveaccounts" : 1, + "pbi_fed_tax_sta" : 1, + "lib_dispatch_factor4" : 1, + "peak_8" : 260.33600000000001, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "lib_dispatch_factor6" : 1, + "const_per_principal4" : 0, + "ibi_uti_amount" : 0, + "const_per_interest2" : 0, + "mera_name1" : "Replacement Reserve 1", + "const_per_months2" : 0, + "equip2_reserve_freq" : 0, + "depr_bonus_sta" : 0, + "energy_1" : 57339.488999999958, + "const_per_months4" : 0, + "cbi_fed_amount" : 0, + "itc_sta_amount" : [ 0 ], + "lib_dispatch_factor5" : 1, + "ibi_sta_amount_tax_sta" : 1, + "lib_dispatch_factor7" : 1, + "analysis_period_warning" : "", + "energy_4" : 53014.929699999993, + "ibi_oth_amount" : 0, + "crit_1" : 0, + "energy_6" : 70152.33849999994, + "depr_alloc_macrs_5_percent" : 100, + "const_per_percent3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "lib_dispatch_factor9" : 1, + "equip2_reserve_cost" : 0, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_term" : 0, + "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.75, + "ur_voltage_category" : "", + "lib_dispatch_factor2" : 1, + "hybrid_capital_cost" : 0, + "pbi_fed_for_ds" : 0, + "depr_alloc_sl_39_percent" : 0, + "host_real_discount_rate" : 6.4000000000000004, + "const_per_interest5" : 0, + "dscr_limit_debt_fraction" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "mera_cost3" : 0, + "depr_itc_fed_sl_15" : 0, + "cost_other_financing" : 0, + "ptc_sta_term" : 10, + "mera_name3" : "Replacement Reserve 3", + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "ur_fuel_adjustments_monthly" : [ 0 ], + "tod_library" : "Uniform Dispatch", + "analysis_period" : 25, + "ibi_oth_amount_deprbas_sta" : 0, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_percent" : [ 0 ], + "ibi_uti_amount_tax_sta" : 1, + "ur_ratedata_filename" : "", + "ibi_uti_amount_deprbas_fed" : 0, + "const_per_percent5" : 0, + "equip3_reserve_freq" : 0, + "mera_cost2" : 0, + "months_receivables_reserve" : 0, + "const_per_principal1" : 1804405.15863, + "pbi_sta_amount" : [ 0 ], + "ur_dc_enable" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "state_tax_rate" : [ 7 ], + "depr_bonus_fed_custom" : 0, + "ibi_oth_amount_tax_sta" : 1, + "const_per_total4" : 0, + "pbi_uti_tax_sta" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_interest_rate3" : 0, + "const_per_percent2" : 0, + "ur_demand_reactive_power_charge" : 0, + "ibi_fed_percent_tax_fed" : 1, + "solution_mode_message" : "", + "const_per_name2" : "Loan 2", + "flip_target_percent" : 11, + "itc_fed_percent_deprbas_sta" : 1, + "crit_12" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "dispatch_data_filename" : "", + "scale_factor" : 1, + "ibi_sta_percent_tax_sta" : 1, + "const_per_upfront_rate5" : 0, + "cbi_fed_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "pbi_oth_amount" : [ 0 ], + "cbi_oth_deprbas_fed" : 0, + "const_per_interest_total" : 29321.583827737501, + "salvage_percentage" : 0, + "term_tenor" : 18, + "ur_uri" : "empty", + "insurance_rate" : 0, + "federal_tax_rate" : [ 21 ], + "months_working_reserve" : 6, + "mera_name2" : "Replacement Reserve 2", + "peak_5" : 198.29499999999999, + "const_per_principal3" : 0, + "dscr" : 1.3, + "equip1_reserve_freq" : 15, + "itc_fed_percent_deprbas_fed" : 1, + "depr_itc_sta_custom" : 0, + "depr_bonus_fed_macrs_5" : 1, + "debt_message" : "", + "ibi_fed_amount_tax_fed" : 1, + "ibi_uti_percent" : 0, + "energy_11" : 51845.282599999977, + "ur_fixed_attrs" : "", + "ibi_sta_percent" : 0, + "pbi_sta_for_ds" : 0, + "escal_other" : [ 0 ], + "const_per_interest1" : 29321.583827737501, + "ibi_oth_percent" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ui_wacc" : 6.7097400000000018, + "ibi_uti_percent_deprbas_sta" : 0, + "run_resiliency_calcs" : 0, + "cbi_uti_maxvalue" : 0, + "ui_battery_capacity" : 288.05025600000005, + "depr_custom_schedule" : [ 0 ], + "ui_battery_cost" : 391808.35863000003, + "const_per_name4" : "Loan 4", + "ibi_sta_amount_tax_fed" : 1, + "batt_replacement_option" : 1, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, + "pbi_sta_tax_sta" : 1, + "ur_nm_credit_month" : 11, + "ur_voltage_min" : 0, + "depr_alloc_custom_percent" : 0, + "const_per_percent4" : 0, + "peak_3" : 172.00700000000001, + "number table entries" : 424 + }, + "windpower" : { + "bos_cost_total" : 191160, + "est_turbine_cost" : 0, + "om_fixed" : [ 0 ], + "wind_resource.location_id" : "loc_id", + "wind.turbine.tower_design" : 0, + "env_icing_loss" : 0.20999999999999999, + "sales_tax_rate" : 5, + "system_capacity" : 100, + "sales_tax_basis" : 0, + "degradation" : [ 0 ], + "wind_farm_xcoordinates" : [ 0 ], + "analysis_period" : 25, + "install_type" : 0, + "turb_generic_loss" : 1.7, + "elec_parasitic_loss" : 0.10000000000000001, + "om_fixed_escal" : 0, + "a_error_test_number" : 17.344999999999999, + "turbine_cost_total" : 273240, + "wind_resource.closest_dir_meas_ht" : 80, + "avail_loss_total" : 5.5011684999999977, + "ops_env_loss" : 1, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 464400, + "wind.turbine.name_only" : "0", + "wind_turbine_rated_wind_speed" : 100, + "avail_grid_loss" : 1.5, + "wind_resource.year" : 1900, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "reference_capacity" : 100, + "ops_grid_loss" : 0.83999999999999997, + "wind_farm_ycoord_file" : [ 0 ], + "resource_definition_type" : 0, + "sales_tax_total" : 0, + "ui_step_minutes" : 60, + "windfarm.farm.row_spacing" : 8, + "turb_hysteresis_loss" : 0.40000000000000002, + "om_production" : [ 0 ], + "turb_loss_total" : 3.9544963841199987, + "wind_turbine_iec_class_from_lib" : "Unknown", + "wind_turbine_rotor_diameter_from_lib" : 21, + "wake_future_loss" : 0, + "system_use_lifetime_output" : 0, + "wind.turbine.elevation" : 0, + "windfarm.farm.number_of_rows" : 10, + "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "windfarm.farm.turbine_spacing" : 8, + "weibull_k_factor" : 2, + "wind_turbine_kw_rating_from_lib" : 100, + "env_env_loss" : 0.40000000000000002, + "wind_turbine_rotor_diameter" : 21, + "windfarm.farm.layout_slider" : 0, + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "wind_turbine_cut_out" : 25, + "reference_number_turbines" : 1, + "wind_resource.elev" : 1829, + "wind_farm_ycoordinates" : [ 0 ], + "wind.turbine.region2nhalf_slope" : 5, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "bos_cost_per_turbine" : 0, + "wind_climate.msg_is_error" : 0, + "wind_resource_model_choice" : 0, + "adjust_constant" : 0, + "wind.turbine.radio_list_or_design" : 0, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_kw_rating" : 100, + "windfarm.layout.file_or_controls" : 1, + "wind_resource.lon_requested" : 0, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_loss_total" : 2.8034671600000061, + "a_error_test_string" : "\"default err msg\"", + "wind_climate.msg" : "", + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_fixed" : 0, + "windfarm.farm.shape" : 0, + "windfarm.farm.offset_type" : 0, + "user_specified_wf_wind" : " ", + "om_production_escal" : 0, + "wind.turbine.blade_design" : 0, + "wind_resource.country" : "USA", + "adjust_timeindex" : [ 0 ], + "wind.turbine.max_tip_speed" : 80, + "wind_resource_shear" : 0.14000000000000001, + "om_capacity" : [ 35 ], + "total_installed_cost_per_kw" : 4644, + "wind_resource.closest_speed_meas_ht" : 80, + "wind_farm_num_turbines" : 1, + "wind_resource.lat_requested" : 0, + "wind_resource_distribution" : [ [ 0 ] ], + "cols" : 1, + "wind_resource.requested_ht" : 80, + "weibull_reference_height" : 50, + "turbine_cost_per_turbine" : 0, + "avail_turb_loss" : 3.5800000000000001, + "avail_bop_loss" : 0.5, + "env_exposure_loss" : 0, + "weibull_wind_speed" : 7.25, + "wind.turbine.drive_train" : 0, + "rows" : 1, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_turbine_selection" : "Northern Power Northwind 100", + "wind_resource.lat" : 0, + "wind_climate.url_info" : "empty", + "windfarm.farm.layout_angle" : 0, + "wind_farm_sizing_mode" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "desired_farm_size" : 5, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource.lon" : 0, + "windfarm.farm.turbines_per_row" : 10, + "bos_cost_per_kw" : 1911.5999999999999, + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_hub_ht" : 80, + "env_loss_total" : 2.3981951200000018, + "use_specific_wf_wind" : 0, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "elec_loss_total" : 2.0080899999999957, + "specify_label" : 0, + "wake_int_loss" : 0, + "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_turbine_kw_rating_input" : 1500, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "adjust" : 0, + "wind_turbine_cutin" : 4, + "wind_farm_wake_model" : 0, + "wind_resource.city" : "city??", + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "turbine_cost_per_kw" : 2732.4000000000001, + "adjust_en_periods" : 0, + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "inflation_rate" : 2.5, + "step" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "windfarm.farm.offset" : 0, + "wind_resource.state" : "AZ", + "sizing_warning" : 0, + "est_bos_cost" : 0, + "wind.turbine.dummy" : 0, + "wake_loss" : 0, + "ops_load_loss" : 0.98999999999999999, + "om_capacity_escal" : 0, + "wind_turbine_powercurve_err_msg" : "", + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "env_degrad_loss" : 1.8, + "wake_loss_total" : 1.100000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "number table entries" : 149 + }, + "battery" : { + "batt_load_ac_forecast_escalation" : [ 0 ], + "batt_user_specified_weather_file_peak_shaving" : "", + "batt_dispatch_wf_forecast_choice_psd" : 0, + "genericsys.cost.contingency" : 0, + "batt_ui_nominal_bank_power" : 300.05235000000005, + "en_standalone_batt" : 0, + "load_escalation" : [ 0 ], + "dispatch_manual_system_charge_first" : 1, + "peak_shaving_batt_dispatch_choice" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "batt_pv_ac_forecast_psd" : [ 0 ], + "battery_per_kwh" : 225.06, + "batt_gridcharge_percent_1" : 100, + "batt_computed_series" : 139, + "batt_vfull" : 4.0999999999999996, + "batt_dispatch_auto_can_charge" : 1, + "pv.storage.p2.charge" : 1, + "batt_replacement_capacity" : 0, + "pv.storage.p4.charge" : 0, + "batt_gridcharge_percent_4" : 100, + "genericsys.cost.epc.percent" : 0, + "genericsys.cost.epc.nonfixed" : 0, + "batt_qfull_flow" : 2398.5, + "batt_dispatch_load_forecast_choice_psd" : 0, + "ui_step_minutes" : 60, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 288.05025600000005, + "batt_dispatch_excl" : 0, + "batt_qnom_percent" : 88.888900000000007, + "batt_c_rate_max_charge_input" : 0.5, + "batt_resistance" : 0.002, + "batt_bank_size_ui" : 1200, + "batt_dispatch_choice" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "genericsys.cost.sales_tax.total" : 0, + "batt_vnom" : 3.3999999999999999, + "analysis_period" : 25, + "pv.storage.p1.gridcharge" : 0, + "batt_gridcharge_percent_5" : 100, + "genericsys.cost.sales_tax.percent" : 0, + "genericsys.cost.per_watt" : 0, + "battery_total_cost_lcos" : 391808.35863000003, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "genericsys.cost.plm.percent" : 0, + "batt_replacement_option" : 1, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_bank_voltage" : 500, + "batt_minimum_soc" : 30, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_ui_inverter_eff" : 96, + "genericsys.cost.sales_tax.value" : 5, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "batt_calendar_a" : 0.00266, + "add_om_num_types" : 1, + "total_direct_cost" : 391808.35863000003, + "batt_current_charge_max" : 599.625, + "batt_volume" : 14.636700000000003, + "batt_computed_strings" : 1066, + "batt_surface_area" : 90.015705000000011, + "ui_copy_batt_discharge_percent_1" : 25, + "pv.storage.p2.discharge" : 0, + "batt_calendar_c" : 939, + "genericsys.cost.plm.fixed" : 0, + "leadacid_tn" : 1, + "pv.storage.p1.charge" : 1, + "batt_cell_power_charge_max" : 2, + "batt_discharge_percent_5" : 25, + "pv_system_capacity" : 540, + "om_batt_fixed_cost" : [ 0 ], + "batt_bank_power_dc_ac" : 0, + "batt_load_ac_forecast" : [ 0 ], + "en_batt" : 1, + "batt_cell_current_charge_max" : 10, + "load_user_forecast_data_psd" : [ 0 ], + "pv.storage.p3.gridcharge" : 0, + "ppa_multiplier_model" : 0, + "pv.storage.p3.charge" : 0, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "batt_c_rate_max_discharge" : 0.25, + "genericsys.cost.epc.total" : 0, + "pv.storage.p1.discharge" : 0, + "total_indirect_cost" : 0, + "batt_custom_dispatch" : [ 0 ], + "batt_user_specified_weather_file_psd" : "", + "ppa_escalation" : 1, + "batt_discharge_percent_4" : 25, + "batt_gridcharge_percent_3" : 100, + "batt_chem" : 1, + "batt_ac_dc_efficiency" : 96, + "batt_minimum_modetime" : 10, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "pv.storage.p4.dischargetogrid" : 0, + "om_replacement_cost_escal" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_load_escal_choice_peak" : 0, + "batt_calendar_q0" : 1.02, + "genericsys.cost.plm.total" : 0, + "batt_computed_voltage" : 500.40000000000003, + "ui_copy_batt_discharge_percent_4" : 25, + "pv.storage.p3.discharge" : 1, + "batt_dispatch_load_forecast_escal_psd" : [ 0 ], + "pv.storage.p5.charge" : 0, + "batt_target_power" : [ 0 ], + "sales_tax_rate" : 5, + "battery_indirect_cost_percent" : 0, + "batt_mass" : 17145.848571428574, + "genericsys.cost.contingency_percent" : 0, + "batt_computed_bank_capacity" : 1200.2094000000002, + "leadacid_q20_computed" : 2398.5, + "batt_pv_ac_forecast_peak" : [ 0 ], + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_batt_nameplate" : 1200.2094000000002, + "om_batt_replacement_cost" : [ 225.06 ], + "batt_discharge_percent_1" : 25, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_loss_choice" : 0, + "pv.storage.p6.gridcharge" : 0, + "om_production_escal" : 0, + "ui_batt_life_model" : 0, + "batt_vnom_default" : 3.6000000000000001, + "batt_replacement_schedule_percent" : [ 0 ], + "batt_target_power_monthly" : [ 0 ], + "batt_vexp" : 4.0499999999999998, + "pv.storage.p3.dischargetogrid" : 0, + "batt_life_excl" : 0, + "batt_calendar_b" : -7280, + "battery_per_kw" : 405.56, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_load_escal_choice_psd" : 0, + "pv.storage.p5.discharge" : 0, + "batt_specific_energy_per_mass" : 70, + "batt_computed_stacks_series" : 0, + "batt_target_choice" : 0, + "batt_room_temperature_single" : 25, + "batt_unit_surface_area" : 30, + "batt_cp" : 1500, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_dispatch_wf_forecast_choice" : 0, + "genericsys.cost.plm.nonfixed" : 0, + "battery_energy" : 1200.2094000000002, + "batt_specific_energy_per_volume" : 82, + "batt_gridcharge_percent_6" : 100, + "om_fixed_escal" : 0, + "total_installed_cost" : 391808.35863000003, + "pv.storage.p5.dischargetogrid" : 0, + "grid_interconnection_limit_kwac" : 20000, + "battery_voltage_shown" : 0, + "batt_size_choice" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_qexp_percent" : 1.7777799999999999, + "batt_ui_cell_voltage" : 3.6000000000000001, + "batt_dispatch_discharge_only_load_exceeds_system" : 1, + "batt_voltage_choice" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_initial_soc" : 50, + "leadacid_qn_computed" : 1439.0999999999999, + "battery_losses_shown" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_qexp" : 0.040000050000000002, + "batt_time_capacity" : 4, + "batt_current_choice" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "batt_discharge_percent_6" : 25, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "pv.storage.p6.discharge" : 0, + "pv.storage.p6.dischargetogrid" : 0, + "compute_as_cube" : 0, + "batt_power_charge_max_kwac" : 312.55453125000008, + "batt_dc_ac_efficiency" : 96, + "pv.storage.p2.gridcharge" : 1, + "batt_c_rate_max_charge" : 0.25, + "pv.storage.p6.charge" : 0, + "batt_type" : 5, + "batt_calendar_choice" : 1, + "battery_total" : 391808.35863000003, + "batt_vcut" : 2.706, + "leadacid_q20" : 100, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ui_copy_batt_discharge_percent_2" : 25, + "batt_cell_power_discharge_max" : 2, + "genericsys.cost.installed_per_capacity" : 1.3602083333333332, + "batt_bank_nstrings" : 1, + "inflation_rate" : 2.5, + "batt_dc_dc_efficiency" : 99, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_room_temperature_vector" : [ 0 ], + "batt_current_discharge_max" : 599.625, + "batt_maximum_soc" : 95, + "ppa_price_input" : [ 0.153 ], + "ui_copy_batt_discharge_percent_5" : 25, + "batt_bank_size" : 1200, + "batt_duration_choice" : 0, + "batt_thermal_choice" : 0, + "leadacid_qn" : 60, + "batt_discharge_percent_2" : 25, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "batt_h_to_ambient" : 7.5, + "batt_dispatch_load_forecast_choice" : 0, + "batt_unit_capacity" : 400, + "batt_cycle_cost" : [ 0 ], + "batt_meter_position" : 0, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_losses" : [ 0 ], + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "leadacid_q10_computed" : 2230.605, + "batt_ac_or_dc" : 1, + "battery_thermal_shown" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_ui_bank_voltage" : 500.40000000000003, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_load_forecast_choice_peak_shaving" : 0, + "leadacid_q10" : 93, + "system_capacity" : 288.05025600000005, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, + "en_wave_batt" : 0, + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_num_cells" : 148174, + "pv.storage.p4.gridcharge" : 0, + "batt_cell_current_discharge_max" : 10, + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "ui_copy_batt_discharge_percent_3" : 25, + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "pv.storage.p1.dischargetogrid" : 0, + "batt_dispatch_load_forecast_escal_peak_shaving" : [ 0 ], + "batt_dispatch_choice_ui" : 0, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_discharge_percent_3" : 25, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "batt_qfull" : 2.25, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_cycle_cost_choice" : 0, + "load_user_forecast_data_peak_shaving" : [ 0 ], + "genericsys.cost.epc.fixed" : 0, + "battery_power" : 300.05235000000005, + "batt_bank_nseries_stacks" : 1, + "batt_bank_duration" : 1200, + "pv.storage.p4.discharge" : 1, + "batt_bank_size_specify" : 100, + "pv.storage.p5.gridcharge" : 0, + "batt_bank_ncells_serial" : 3, + "batt_bank_power" : 300, + "om_capacity_escal" : 0, + "batt_life_model" : 0, + "number table entries" : 249 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 0789c8d4c..9c19de80b 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -1,29802 +1,972 @@ { - "input": { - "compute_modules": [ - "pvwattsv8", - "windpower", - "battery", - "grid", - "utilityrate5", - "singleowner" - ], - "pvwattsv8": { - "albedo": [ - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2 - ], - "analysis_period": 30.0, - "array_type": 0.0, - "azimuth": 180.0, - "batt_simple_enable": 0.0, - "bifaciality": 0.0, - "dc_ac_ratio": 1.1, - "degradation": [ - 0.0 - ], - "en_snowloss": 0.0, - "gcr": 0.4, - "inv_eff": 96.0, - "losses": 14.0757, - "module_type": 0.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "shading_azal": [ - [ - 0.0 - ] - ], - "shading_diff": 0.0, - "shading_en_azal": 0.0, - "shading_en_diff": 0.0, - "shading_en_mxh": 0.0, - "shading_en_string_option": 0.0, - "shading_en_timestep": 0.0, - "shading_mxh": [ - [ - 0.0 - ] - ], - "shading_string_option": 0.0, - "shading_timestep": [ - [ - 0.0 - ] - ], - "soiling": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "system_capacity": 15.0, - "system_use_lifetime_output": 0.0, - "tilt": 42.82, - "total_installed_cost": 82281.8, - "use_wf_albedo": 1.0 - }, - "windpower": { - "avail_bop_loss": 0.0, - "avail_grid_loss": 0.0, - "avail_turb_loss": 0.0, - "degradation": [ - 0.0 - ], - "elec_eff_loss": 0.0, - "elec_parasitic_loss": 0.0, - "env_degrad_loss": 0.0, - "env_env_loss": 0.0, - "env_exposure_loss": 0.0, - "env_icing_loss": 0.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "ops_env_loss": 0.0, - "ops_grid_loss": 0.0, - "ops_load_loss": 0.0, - "ops_strategies_loss": 0.0, - "system_capacity": 1.0, - "total_installed_cost": 4000.0, - "turb_generic_loss": 0.0, - "turb_hysteresis_loss": 0.0, - "turb_perf_loss": 0.0, - "turb_specific_loss": 0.0, - "wake_ext_loss": 0.0, - "wake_future_loss": 0.0, - "wake_int_loss": 0.0, - "weibull_k_factor": 2.0, - "weibull_reference_height": 50.0, - "weibull_wind_speed": 7.25, - "wind_farm_wake_model": 0.0, - "wind_farm_xCoordinates": [ - 0.0 - ], - "wind_farm_yCoordinates": [ - 0.0 - ], - "wind_resource_distribution": [ - [ - 0.0 - ] - ], - "wind_resource_model_choice": 0.0, - "wind_resource_shear": 0.14, - "wind_resource_turbulence_coeff": 0.1, - "wind_turbine_hub_ht": 80.0, - "wind_turbine_max_cp": 0.45, - "wind_turbine_powercurve_powerout": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], - "wind_turbine_powercurve_windspeeds": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_rotor_diameter": 2.5 - }, - "battery": { - "LeadAcid_q10_computed": 18.6, - "LeadAcid_q20_computed": 20.0, - "LeadAcid_qn_computed": 12.0, - "LeadAcid_tn": 1.0, - "analysis_period": 30.0, - "batt_C_rate": 0.1, - "batt_Cp": 4183.0, - "batt_Qexp": 0.05, - "batt_Qfull": 5.0, - "batt_Qfull_flow": 20.0, - "batt_Qnom": 4.75, - "batt_Vcut": 1.452, - "batt_Vexp": 2.05, - "batt_Vfull": 2.2, - "batt_Vnom": 2.04, - "batt_Vnom_default": 3.2, - "batt_ac_dc_efficiency": 99.0, - "batt_ac_or_dc": 1.0, - "batt_calendar_a": 0.00266, - "batt_calendar_b": -7280.0, - "batt_calendar_c": 939.0, - "batt_calendar_choice": 0.0, - "batt_calendar_lifetime_matrix": [ - [ - 0.0, - 100.0 - ], - [ - 3650.0, - 80.0 - ], - [ - 7300.0, - 50.0 - ] - ], - "batt_calendar_q0": 1.02, - "batt_chem": 0.0, - "batt_computed_bank_capacity": 9.024, - "batt_computed_series": 141.0, - "batt_computed_strings": 4.0, - "batt_current_charge_max": 24.0, - "batt_current_choice": 1.0, - "batt_current_discharge_max": 24.0, - "batt_custom_dispatch": [ - 0.0 - ], - "batt_cycle_cost": [ - 0.0 - ], - "batt_cycle_cost_choice": 0.0, - "batt_dc_ac_efficiency": 99.0, - "batt_dc_dc_efficiency": 99.0, - "batt_dispatch_auto_can_charge": 0.0, - "batt_dispatch_auto_can_clipcharge": 0.0, - "batt_dispatch_auto_can_fuelcellcharge": 0.0, - "batt_dispatch_auto_can_gridcharge": 0.0, - "batt_dispatch_choice": 3.0, - "batt_dispatch_pvs_ac_lb": -0.1, - "batt_dispatch_pvs_ac_lb_enable": 0.0, - "batt_dispatch_pvs_ac_ub": 1.05, - "batt_dispatch_pvs_ac_ub_enable": 0.0, - "batt_dispatch_pvs_curtail_as_control": 0.0, - "batt_dispatch_pvs_curtail_if_violation": 0.0, - "batt_dispatch_pvs_forecast_shift_periods": 3.0, - "batt_dispatch_pvs_kf": 0.3, - "batt_dispatch_pvs_ki": 1.8, - "batt_dispatch_pvs_kp": 1.2, - "batt_dispatch_pvs_max_ramp": 10.0, - "batt_dispatch_pvs_nameplate_ac": 15.0, - "batt_dispatch_pvs_short_forecast_enable": 0.0, - "batt_dispatch_pvs_soc_rest": 50.0, - "batt_dispatch_pvs_timestep_multiplier": 3.0, - "batt_dispatch_update_frequency_hours": 1.0, - "batt_dispatch_wf_forecast_choice": 0.0, - "batt_h_to_ambient": 5.0, - "batt_initial_SOC": 50.0, - "batt_inverter_efficiency_cutoff": 90.0, - "batt_life_model": 0.0, - "batt_lifetime_matrix": [ - [ - 30.0, - 0.0, - 100.0 - ], - [ - 30.0, - 1100.0, - 90.0 - ], - [ - 30.0, - 1200.0, - 50.0 - ], - [ - 50.0, - 0.0, - 100.0 - ], - [ - 50.0, - 400.0, - 90.0 - ], - [ - 50.0, - 500.0, - 50.0 - ], - [ - 100.0, - 0.0, - 100.0 - ], - [ - 100.0, - 100.0, - 90.0 - ], - [ - 100.0, - 150.0, - 50.0 - ] - ], - "batt_look_ahead_hours": 18.0, - "batt_loss_choice": 0.0, - "batt_losses": [ - 0.0 - ], - "batt_losses_charging": [ - 0.0 - ], - "batt_losses_discharging": [ - 0.0 - ], - "batt_losses_idle": [ - 0.0 - ], - "batt_mass": 60.16, - "batt_maximum_SOC": 95.0, - "batt_meter_position": 1.0, - "batt_minimum_SOC": 10.0, - "batt_minimum_modetime": 10.0, - "batt_power_charge_max_kwac": 10.9382, - "batt_power_charge_max_kwdc": 10.8288, - "batt_power_discharge_max_kwac": 10.7205, - "batt_power_discharge_max_kwdc": 10.8288, - "batt_pv_ac_forecast": [ - 0.0 - ], - "batt_pv_clipping_forecast": [ - 0.0 - ], - "batt_replacement_capacity": 0.0, - "batt_replacement_option": 0.0, - "batt_replacement_schedule_percent": [ - 0.0 - ], - "batt_resistance": 0.1, - "batt_room_temperature_celsius": [ - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0 - ], - "batt_surface_area": 0.580323, - "batt_voltage_choice": 0.0, - "batt_voltage_matrix": [ - [ - 0.0, - 1.2 - ], - [ - 20.0, - 1.1 - ], - [ - 40.0, - 1.05 - ], - [ - 60.0, - 1.0 - ], - [ - 80.0, - 0.95 - ], - [ - 100.0, - 0.5 - ] - ], - "cap_vs_temp": [ - [ - -15.0, - 65.0 - ], - [ - 0.0, - 85.0 - ], - [ - 25.0, - 100.0 - ], - [ - 40.0, - 104.0 - ] - ], - "dispatch_manual_btm_discharge_to_grid": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_charge": [ - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_discharge": [ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_gridcharge": [ - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_percent_discharge": [ - 25.0, - 0.0 - ], - "dispatch_manual_percent_gridcharge": [ - 100.0, - 0.0 - ], - "dispatch_manual_sched": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_system_charge_first": 0.0, - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.1, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "en_batt": 1.0, - "en_standalone_batt": 0.0, - "en_wave_batt": 0.0, - "grid_interconnection_limit_kwac": 20000.0, - "inflation_rate": 2.5, - "om_batt_capacity_cost": [ - 0.0 - ], - "om_batt_fixed_cost": [ - 0.0 - ], - "om_batt_nameplate": 9.024, - "om_batt_replacement_cost": [ - 0.0 - ], - "om_batt_variable_cost": [ - 0.0 - ], - "om_capacity_escal": 0.0, - "om_fixed_escal": 0.0, - "om_production_escal": 0.0, - "om_replacement_cost_escal": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.0 - ], - "total_installed_cost": 90.24 - }, - "Hybrid": { - "analysis_period": 30.0, - "batt_replacement_option": 0.0, - "batt_salvage_percentage": 0.0, - "battery_total_installed_cost": 90.24, - "cbi_fed_amount": 0.0, - "cbi_fed_deprbas_fed": 0.0, - "cbi_fed_deprbas_sta": 0.0, - "cbi_fed_maxvalue": 0.0, - "cbi_fed_tax_fed": 1.0, - "cbi_fed_tax_sta": 1.0, - "cbi_oth_amount": 0.0, - "cbi_oth_deprbas_fed": 0.0, - "cbi_oth_deprbas_sta": 0.0, - "cbi_oth_maxvalue": 0.0, - "cbi_oth_tax_fed": 1.0, - "cbi_oth_tax_sta": 1.0, - "cbi_sta_amount": 0.0, - "cbi_sta_deprbas_fed": 0.0, - "cbi_sta_deprbas_sta": 0.0, - "cbi_sta_maxvalue": 0.0, - "cbi_sta_tax_fed": 1.0, - "cbi_sta_tax_sta": 1.0, - "cbi_uti_amount": 0.0, - "cbi_uti_deprbas_fed": 0.0, - "cbi_uti_deprbas_sta": 0.0, - "cbi_uti_maxvalue": 0.0, - "cbi_uti_tax_fed": 1.0, - "cbi_uti_tax_sta": 1.0, - "construction_financing_cost": 0.0, - "cost_debt_closing": 0.0, - "cost_debt_fee": 0.0, - "cost_other_financing": 0.0, - "cp_battery_nameplate": 0.0, - "cp_capacity_credit_percent": [ - 0.0 - ], - "cp_capacity_payment_amount": [ - 0.0 - ], - "cp_capacity_payment_esc": 0.0, - "cp_capacity_payment_type": 0.0, - "cp_system_nameplate": 0.0267205, - "debt_option": 1.0, - "debt_percent": 50.0, - "depr_alloc_custom_percent": 0.0, - "depr_alloc_macrs_15_percent": 0.0, - "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_sl_15_percent": 0.0, - "depr_alloc_sl_20_percent": 0.0, - "depr_alloc_sl_39_percent": 0.0, - "depr_alloc_sl_5_percent": 0.0, - "depr_bonus_fed": 0.0, - "depr_bonus_fed_custom": 0.0, - "depr_bonus_fed_macrs_15": 0.0, - "depr_bonus_fed_macrs_5": 1.0, - "depr_bonus_fed_sl_15": 0.0, - "depr_bonus_fed_sl_20": 0.0, - "depr_bonus_fed_sl_39": 0.0, - "depr_bonus_fed_sl_5": 0.0, - "depr_bonus_sta": 0.0, - "depr_bonus_sta_custom": 0.0, - "depr_bonus_sta_macrs_15": 0.0, - "depr_bonus_sta_macrs_5": 0.0, - "depr_bonus_sta_sl_15": 0.0, - "depr_bonus_sta_sl_20": 0.0, - "depr_bonus_sta_sl_39": 0.0, - "depr_bonus_sta_sl_5": 0.0, - "depr_custom_schedule": [ - 0.0 - ], - "depr_fedbas_method": 1.0, - "depr_itc_fed_custom": 0.0, - "depr_itc_fed_macrs_15": 0.0, - "depr_itc_fed_macrs_5": 0.0, - "depr_itc_fed_sl_15": 0.0, - "depr_itc_fed_sl_20": 0.0, - "depr_itc_fed_sl_39": 0.0, - "depr_itc_fed_sl_5": 0.0, - "depr_itc_sta_custom": 0.0, - "depr_itc_sta_macrs_15": 0.0, - "depr_itc_sta_macrs_5": 0.0, - "depr_itc_sta_sl_15": 0.0, - "depr_itc_sta_sl_20": 0.0, - "depr_itc_sta_sl_39": 0.0, - "depr_itc_sta_sl_5": 0.0, - "depr_stabas_method": 1.0, - "dispatch_factors_ts": [ - 0.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1 - ], - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "dscr": 1.3, - "dscr_limit_debt_fraction": 0.0, - "dscr_maximum_debt_fraction": 100.0, - "dscr_reserve_months": 0.0, - "en_electricity_rates": 0.0, - "enable_interconnection_limit": 1.0, - "equip1_reserve_cost": 0.0, - "equip1_reserve_freq": 0.0, - "equip2_reserve_cost": 0.0, - "equip2_reserve_freq": 0.0, - "equip3_reserve_cost": 0.0, - "equip3_reserve_freq": 0.0, - "equip_reserve_depr_fed": 0.0, - "equip_reserve_depr_sta": 0.0, - "federal_tax_rate": [ - 25.0 - ], - "flip_target_percent": 10.0, - "flip_target_year": 10.0, - "grid_curtailment": [ - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0 - ], - "grid_curtailment_price": [ - 0.0 - ], - "grid_curtailment_price_esc": 0.0, - "grid_interconnection_limit_kwac": 20000.0, - "ibi_fed_amount_deprbas_fed": 0.0, - "ibi_fed_amount_deprbas_sta": 0.0, - "ibi_fed_amount_tax_fed": 1.0, - "ibi_fed_amount_tax_sta": 1.0, - "ibi_fed_percent": 0.0, - "ibi_fed_percent_deprbas_fed": 0.0, - "ibi_fed_percent_deprbas_sta": 0.0, - "ibi_fed_percent_maxvalue": 0.0, - "ibi_fed_percent_tax_fed": 1.0, - "ibi_fed_percent_tax_sta": 1.0, - "ibi_oth_amount": 0.0, - "ibi_oth_amount_deprbas_fed": 0.0, - "ibi_oth_amount_deprbas_sta": 0.0, - "ibi_oth_amount_tax_fed": 1.0, - "ibi_oth_amount_tax_sta": 1.0, - "ibi_oth_percent": 0.0, - "ibi_oth_percent_deprbas_fed": 0.0, - "ibi_oth_percent_deprbas_sta": 0.0, - "ibi_oth_percent_maxvalue": 0.0, - "ibi_oth_percent_tax_fed": 1.0, - "ibi_oth_percent_tax_sta": 1.0, - "ibi_sta_amount": 0.0, - "ibi_sta_amount_deprbas_fed": 0.0, - "ibi_sta_amount_deprbas_sta": 0.0, - "ibi_sta_amount_tax_fed": 1.0, - "ibi_sta_amount_tax_sta": 1.0, - "ibi_sta_percent": 0.0, - "ibi_sta_percent_deprbas_fed": 0.0, - "ibi_sta_percent_deprbas_sta": 0.0, - "ibi_sta_percent_maxvalue": 0.0, - "ibi_sta_percent_tax_fed": 1.0, - "ibi_sta_percent_tax_sta": 1.0, - "ibi_uti_amount": 0.0, - "ibi_uti_amount_deprbas_fed": 0.0, - "ibi_uti_amount_deprbas_sta": 0.0, - "ibi_uti_amount_tax_fed": 1.0, - "ibi_uti_amount_tax_sta": 1.0, - "ibi_uti_percent": 0.0, - "ibi_uti_percent_deprbas_fed": 0.0, - "ibi_uti_percent_deprbas_sta": 0.0, - "ibi_uti_percent_maxvalue": 0.0, - "ibi_uti_percent_tax_fed": 1.0, - "ibi_uti_percent_tax_sta": 1.0, - "inflation_rate": 2.5, - "insurance_rate": 1.0, - "itc_fed_amount": [ - 0.0 - ], - "itc_fed_amount_deprbas_fed": 1.0, - "itc_fed_amount_deprbas_sta": 1.0, - "itc_fed_percent": [ - 30.0 - ], - "itc_fed_percent_deprbas_fed": 1.0, - "itc_fed_percent_deprbas_sta": 1.0, - "itc_fed_percent_maxvalue": [ - 1.0 - ], - "itc_sta_amount": [ - 0.0 - ], - "itc_sta_amount_deprbas_fed": 0.0, - "itc_sta_amount_deprbas_sta": 0.0, - "itc_sta_percent": [ - 0.0 - ], - "itc_sta_percent_deprbas_fed": 0.0, - "itc_sta_percent_deprbas_sta": 0.0, - "itc_sta_percent_maxvalue": [ - 1.0 - ], - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 - ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.1 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "pv_total_installed_cost": 82281.8, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "salvage_percentage": 10.0, - "state_tax_rate": [ - 7.0 - ], - "system_capacity": 26.7205, - "term_int_rate": 0.0, - "term_tenor": 0.0, - "total_installed_cost": 86372.0, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e+38, - 0.0 - ] - ], - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e+38, - 0.0 - ] - ], - "ur_ec_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_tou_mat": [ - [ - 1.0, - 1.0, - 9.6, - 2.0, - 0.16756, - 0.0 - ], - [ - 1.0, - 2.0, - 12.48, - 2.0, - 0.17999, - 0.0 - ], - [ - 1.0, - 3.0, - 19.2, - 2.0, - 0.34805, - 0.0 - ], - [ - 1.0, - 4.0, - 1e+38, - 2.0, - 0.45718, - 0.0 - ], - [ - 2.0, - 1.0, - 9.6, - 2.0, - 0.14747, - 0.0 - ], - [ - 2.0, - 2.0, - 12.48, - 2.0, - 0.1599, - 0.0 - ], - [ - 2.0, - 3.0, - 19.2, - 2.0, - 0.3134, - 0.0 - ], - [ - 2.0, - 4.0, - 1e+38, - 2.0, - 0.34283, - 0.0 - ], - [ - 3.0, - 1.0, - 10.1, - 2.0, - 0.14982, - 0.0 - ], - [ - 3.0, - 2.0, - 13.13, - 2.0, - 0.16225, - 0.0 - ], - [ - 3.0, - 3.0, - 20.2, - 2.0, - 0.29989, - 0.0 - ], - [ - 3.0, - 4.0, - 1e+38, - 2.0, - 0.35231, - 0.0 - ], - [ - 4.0, - 1.0, - 10.1, - 2.0, - 0.14747, - 0.0 - ], - [ - 4.0, - 2.0, - 13.13, - 2.0, - 0.1599, - 0.0 - ], - [ - 4.0, - 3.0, - 20.2, - 2.0, - 0.29603, - 0.0 - ], - [ - 4.0, - 4.0, - 1e+38, - 2.0, - 0.34241, - 0.0 - ] - ], - "ur_en_ts_buy_rate": 0.0, - "ur_en_ts_sell_rate": 0.0, - "ur_enable_billing_demand": 0.0, - "ur_metering_option": 0.0, - "ur_monthly_fixed_charge": 0.0, - "ur_monthly_min_charge": 0.0, - "ur_nm_credit_month": 0.0, - "ur_nm_credit_rollover": 0.0, - "ur_nm_yearend_sell_rate": 0.0, - "ur_ts_buy_rate": [ - 0.0 - ], - "ur_ts_sell_rate": [ - 0.0 - ], - "ur_yearzero_usage_peaks": [ - 0.0 - ], - "wind_total_installed_cost": 4000.0 - } - } -} \ No newline at end of file + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "pvwattsv8" : { + "bos_equip_fixed" : 0, + "grid_percent" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "ui_land_area_ha" : 175.43871222814653, + "installed_per_capacity" : 1.163945, + "om_production" : [ 0 ], + "land_area_value" : 433.51782985136145, + "losses" : 14.075660688264469, + "inverter_num_units" : 1, + "om_fixed_escal" : 0, + "permitting_per_watt" : 0, + "permitting_total" : 0, + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_percent" : 0, + "inverter_costunits" : 1, + "landprep_fixed" : 0, + "ui_total_module_area" : 526315.78947368416, + "shading_en_mxh" : 0, + "contingency_percent" : 3, + "ui_ac_capacity" : 76.92307692307692, + "total_installed_cost" : 116394500, + "module_total" : 39000000, + "adjust_en_periods" : 0, + "grid_total" : 2000000, + "sales_tax_value" : 5, + "modulearray_power" : 100000, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "grid_per_watt" : 0.02, + "ui_total_land_area_ha" : 175.43871222814653, + "annual_global" : 5.7947616438356171, + "module_is_bifacial" : 0, + "inverter_total" : 5000000, + "ui_land_area_multiplier" : 0, + "dc_ac_ratio" : 1.3, + "loss_nameplate" : 1, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "module_num_units" : 1, + "ui_land_area_per_mw" : 0, + "user_specified_weather_file" : "", + "inflation_rate" : 2.5, + "grid_fixed" : 0, + "loss_wiring" : 2, + "subtotal_direct" : 103000000, + "module_power" : 100000, + "inv_eff" : 96, + "install_labor_perwatt" : 0.17999999999999999, + "lat" : 33.450000000000003, + "system_use_lifetime_output" : 0, + "loss_snow" : 0, + "loss_shading" : 3, + "install_labor_perarea" : 0, + "ui_land_area_additional" : 0, + "tilt" : 0, + "solar_data_source" : "NSRDB", + "ac_nameplate" : 76923.076923076922, + "per_module" : 0.39000000000000001, + "om_production_escal" : 0, + "shading_timestep" : [ [ 0 ] ], + "land_per_watt" : 0, + "is_advanced" : 0, + "modulearray_area" : 526315.78947368416, + "batt_simple_enable" : 0, + "library_paths" : "", + "inverter_power" : 76923.076923076922, + "ui_total_module_area_ha" : 52.631578947368418, + "ui_total_module_area_acres" : 130.05534895540842, + "adjust_en_timeindex" : 0, + "analysis_period" : 25, + "sales_tax_rate" : 5, + "landprep_per_watt" : 0.01, + "azimuth" : 180, + "system_capacity" : 100000, + "land_fixed" : 0, + "module_costunits" : 0, + "shading_en_timestep" : 0, + "contingency" : 3090000, + "per_inverter" : 0.050000000000000003, + "user_specified_constant_albedo" : 0.20000000000000001, + "bos_equip_total" : 28999999.999999996, + "lon" : -111.98, + "en_snowloss" : 0, + "shading" : 0, + "land_area" : 433.51782985136145, + "install_labor_fixed" : 0, + "land_per_acre" : 0, + "ui_land_lease" : [ 0 ], + "annual_beam" : 7.3356438356164393, + "loss_age" : 0, + "engr_total" : 2000000, + "shading_en_diff" : 0, + "in_location_options" : 0, + "shading_en_azal" : 0, + "total_module_area" : 526315.78947368416, + "pv_land_area_is_shown" : 0, + "bos_equip_perarea" : 0, + "in_location_list" : "", + "library_folder_list" : "x", + "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "annual_twet" : "nan", + "install_margin_fixed" : 0, + "use_wf_albedo" : 1, + "total_indirect_cost" : 5000000, + "permitting_fixed" : 0, + "permitting_percent" : 0, + "gcr" : 0.29999999999999999, + "om_capacity_escal" : 0, + "install_margin_perarea" : 0, + "land_total" : 0, + "tz" : -7, + "step" : 3600, + "landprep_total" : 1000000, + "ui_use_acre_per_mw" : 0, + "install_margin_perwatt" : 0.12, + "pvwatts.advanced.is_shown" : 0, + "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "annual_tdry" : 21.938470319634703, + "annual_albedo" : 0.18395068539332038, + "array_type" : 2, + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "wf_nrecords" : 8760, + "shading_diff" : 0, + "en_user_spec_losses" : 0, + "engr_fixed" : 0, + "engr_per_watt" : 0.02, + "loss_lid" : 1.5, + "ui_array_land_area_multiplier" : 1, + "shading_string_option" : 0, + "annual_snow" : "nan", + "total_direct_cost" : 106090000, + "total_land_area" : 433.51782985136145, + "adjust" : 0, + "use_specific_weather_file" : 0, + "ui_step_minutes" : 60, + "sales_tax_total" : 5304500, + "bifaciality" : 0, + "landprep_percent" : 0, + "country" : "-", + "module_type" : 0, + "loss_avail" : 3, + "om_fixed" : [ 0 ], + "inverterarray_power" : 76923.076923076922, + "annual_diffuse" : 1.3484328767123288, + "engr_percent" : 0, + "elev" : 358, + "in_time_step" : 0, + "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "install_labor_total" : 18000000, + "city" : "-", + "ui_array_land_area_acres" : 433.51782985136145, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "adjust_constant" : 0, + "ui_land_area_units" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "station_id" : "78208", + "ui_array_land_area_ha" : 175.43871222814653, + "losses_user" : 14, + "om_capacity" : [ 15 ], + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "loss_soiling" : 2, + "annual_wspd" : 1.7864840188646289, + "loss_mismatch" : 2, + "shading_azal" : [ [ 0 ] ], + "shading_en_string_option" : 0, + "install_margin_total" : 12000000, + "state" : "-", + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "degradation" : [ 0.5 ], + "ui_land_area_additional_units" : 0, + "in_nsrdb_options" : 0, + "loss_conn" : 0.5, + "number table entries" : 174 + }, + "hybrid" : { + "ur_rate_notes" : "", + "ur_ts_sell_rate" : [ 0 ], + "ibi_sta_amount_deprbas_sta" : 0, + "ur_is_default" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_amount" : 0, + "show_construction_period" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "ur_end_date" : "empty", + "pbi_oth_for_ds" : 0, + "ur_billing_demand_minimum" : 0, + "depr_bonus_fed" : 0, + "lib_dispatch_factor7" : 1, + "mera_name1" : "Replacement Reserve 1", + "cbi_uti_deprbas_sta" : 0, + "depr_itc_fed_sl_39" : 0, + "chk_update_peaks" : 0, + "ur_voltage_max" : 0, + "ibi_sta_percent_tax_fed" : 1, + "ur_unused_is_shown" : 0, + "const_per_interest_rate1" : 6.5, + "pbi_uti_term" : 0, + "grid_curtailment_price" : [ 0 ], + "const_per_percent4" : 0, + "depr_bonus_fed_sl_5" : 0, + "ur_source" : "empty", + "ibi_fed_percent_deprbas_fed" : 0, + "depr_alloc_none" : 0, + "depr_bonus_sta_sl_5" : 0, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_percent_tax_sta" : 1, + "ibi_uti_percent_maxvalue" : 0, + "lib_dispatch_factor1" : 1, + "depr_itc_fed_macrs_15" : 0, + "ibi_fed_percent" : 0, + "ibi_oth_percent" : 0, + "pbi_fed_amount" : [ 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ur_energy_attrs" : "", + "is_btm" : 0, + "cbi_fed_tax_fed" : 1, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "lib_dispatch_factor4" : 1, + "depr_bonus_fed_sl_15" : 0, + "cbi_oth_deprbas_sta" : 0, + "depr_bonus_sta" : 0, + "cbi_fed_amount" : 0, + "lib_dispatch_factor5" : 1, + "depr_itc_sta_sl_15" : 0, + "cbi_uti_amount" : 0, + "equip1_reserve_freq" : 15, + "pbi_fed_tax_sta" : 1, + "const_per_name1" : "Loan 1", + "const_per_interest_total" : 8455307.6947242673, + "ur_desc_is_shown" : 0, + "cbi_oth_maxvalue" : 0, + "const_per_total1" : 13658573.968400739, + "depr_itc_fed_sl_5" : 0, + "cbi_sta_maxvalue" : 0, + "ur_demand_min" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "battery_system_capacity" : 57600.053208000005, + "ibi_uti_amount_tax_fed" : 1, + "ibi_uti_percent_tax_sta" : 1, + "ur_demand_window" : 0, + "cp_capacity_payment_type" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_sta" : 1, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "ur_en_ts_buy_rate" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_total2" : 0, + "const_per_percent1" : 100, + "itc_fed_percent" : [ 30 ], + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "ibi_sta_amount_tax_fed" : 1, + "ibi_sta_percent_maxvalue" : 0, + "depr_itc_fed_custom" : 0, + "pbi_oth_escal" : 0, + "ur_ec_is_shown" : 1, + "const_per_interest3" : 0, + "pv_total_installed_cost" : 116394500, + "ur_utility" : "empty", + "ur_annual_min_charge" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_bonus_fed_sl_39" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, + "itc_fed_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "depr_bonus_fed_macrs_5" : 1, + "equip1_reserve_cost" : 0.10000000000000001, + "depr_itc_sta_sl_5" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ui_fuel_cell_capacity" : 0, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, + "rate_escalation" : [ 0 ], + "ibi_uti_percent_deprbas_fed" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "pbi_sta_tax_fed" : 1, + "ppa_escalation" : 1, + "wind_total_installed_cost" : 292032000, + "ur_monthly_fixed_charge" : 0, + "months_working_reserve" : 6, + "cp_battery_nameplate" : 0, + "ur_dc_is_shown" : 1, + "const_per_name3" : "Loan 3", + "batt_power_discharge_max_kwac" : 57600.053208000005, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "ui_pv_cost" : 116394500, + "const_per_interest_rate5" : 0, + "depr_itc_fed_macrs_5" : 0, + "ur_demand_history" : 0, + "ac_nameplate" : 76923.100000000006, + "equip3_reserve_cost" : 0, + "depr_alloc_macrs_5_percent" : 100, + "inflation_rate" : 2.5, + "debt_option" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_term" : 0, + "ur_has_unused_items" : 0, + "ibi_fed_amount_tax_fed" : 1, + "mera_name2" : "Replacement Reserve 2", + "revenue_tod_is_shown" : 1, + "cbi_uti_tax_sta" : 1, + "cp_system_nameplate" : 276.92309999999998, + "ur_enable_billing_demand" : 0, + "show_reserveaccounts" : 1, + "equip3_reserve_freq" : 0, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_interest_rate3" : 0, + "const_per_interest4" : 0, + "analysis_period_warning" : "", + "ibi_sta_amount_tax_sta" : 1, + "dispatch_data_filename" : "", + "ibi_oth_percent_maxvalue" : 0, + "depr_itc_fed_sl_15" : 0, + "ptc_sta_term" : 10, + "cost_other_financing" : 0, + "show_debtconstdscr" : 1, + "ur_energy_min" : 0, + "cbi_fed_deprbas_fed" : 0, + "enable_interconnection_limit" : 0, + "const_per_principal4" : 0, + "const_per_interest2" : 0, + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "const_per_principal2" : 0, + "ur_billing_demand_is_shown" : 0, + "const_per_principal5" : 0, + "loan_moratorium" : 0, + "const_per_upfront_rate3" : 0, + "ui_generic_cost" : 0, + "depr_itc_sta_sl_20" : 0, + "salvage_value" : 0, + "property_tax_rate" : 0, + "pbi_fed_escal" : 0, + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "lib_dispatch_factor8" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "const_per_upfront_rate5" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent_tax_fed" : 1, + "const_per_months5" : 0, + "const_per_interest_rate2" : 0, + "pbi_uti_tax_fed" : 1, + "pbi_oth_term" : 0, + "const_per_principal3" : 0, + "dscr" : 1.3, + "ui_generic_capacity" : 0, + "ur_start_date" : "empty", + "lib_dispatch_factor3" : 1, + "dscr_reserve_months" : 6, + "depr_itc_sta_macrs_15" : 0, + "ui_electricity_rate_option" : 1, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "cbi_oth_amount" : 0, + "ppa_multiplier_model" : 0, + "depr_fedbas_method" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_percent2" : 0, + "const_per_months1" : 6, + "depr_alloc_macrs_15_percent" : 0, + "ibi_uti_percent" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ibi_fed_percent_deprbas_sta" : 0, + "ui_wind_cost" : 292032000, + "ptc_sta_amount" : [ 0 ], + "depr_itc_fed_sl_20" : 0, + "const_per_months3" : 0, + "ui_hyb_pv_capacity" : 76923.100000000006, + "ur_cr_sched" : [ [ 0 ] ], + "wind_system_capacity" : 200000, + "cbi_oth_deprbas_fed" : 0, + "salvage_percentage" : 0, + "const_per_upfront_rate1" : 1, + "depr_alloc_sl_39_percent" : 0, + "cbi_oth_tax_fed" : 1, + "om_fixed" : 0, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "ui_system_nameplate_standalone" : 0, + "term_int_rate" : 4, + "depr_stabas_method" : 1, + "depr_alloc_custom_percent" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "payment_option" : 0, + "ur_uri" : "empty", + "insurance_rate" : 0, + "cbi_sta_tax_fed" : 1, + "federal_tax_rate" : [ 21 ], + "nominal_discount_rate" : 9.0600000000000023, + "ibi_uti_percent_tax_fed" : 1, + "reserves_interest" : 1.25, + "hybrid_capital_cost" : 0, + "lib_dispatch_factor2" : 1, + "ur_nm_credit_rollover" : 0, + "ibi_sta_percent" : 0, + "ur_fixed_attrs" : "", + "cp_capacity_credit_percent" : [ 0 ], + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "ptc_fed_term" : 10, + "prop_tax_cost_assessed_percent" : 100, + "property_assessed_value" : 520326627.36764717, + "ppa_price_input" : [ 0.050000000000000003 ], + "ptc_sta_escal" : 0, + "ur_billing_demand_lookback_period" : 0, + "ur_name" : "", + "const_per_total3" : 0, + "system_capacity" : 276923.09999999998, + "const_per_interest5" : 0, + "dscr_limit_debt_fraction" : 0, + "solution_mode_message" : "", + "ibi_fed_percent_tax_fed" : 1, + "ur_demand_reactive_power_charge" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "const_per_name2" : "Loan 2", + "ur_ratedata_filename" : "", + "show_capitalcostso" : 1, + "const_per_total4" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_sta_amount" : 0, + "const_per_name5" : "Loan 5", + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ui_wacc" : 5.3872800000000014, + "depr_itc_sta_custom" : 0, + "cost_debt_fee" : 2.75, + "cbi_fed_deprbas_sta" : 0, + "pbi_sta_for_ds" : 0, + "tod_library" : "Uniform Dispatch", + "const_per_percent_total" : 100, + "const_per_percent3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "depr_bonus_sta_sl_20" : 0, + "ppa_soln_mode" : 1, + "itc_fed_percent_deprbas_sta" : 1, + "prop_tax_assessed_decline" : 0, + "flip_target_percent" : 11, + "ur_schedule_name" : "empty", + "en_electricity_rates" : 1, + "const_per_principal1" : 520326627.36764717, + "ur_dc_enable" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "pbi_sta_amount" : [ 0 ], + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "ur_voltage_category" : "", + "const_per_percent5" : 0, + "mera_name3" : "Replacement Reserve 3", + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period" : 25, + "ibi_oth_amount" : 0, + "ibi_uti_amount" : 0, + "grid_curtailment_price_esc" : 0, + "lib_dispatch_factor6" : 1, + "ur_description" : "", + "cost_debt_closing" : 0, + "ur_demand_max" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, + "ur_energy_max" : 0, + "construction_financing_cost" : 13658573.968400739, + "depr_itc_sta_sl_39" : 0, + "ur_service_type" : "", + "ur_fuel_adjustments_monthly" : [ 0 ], + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "ur_nm_credit_month" : 0, + "ur_voltage_min" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "depr_alloc_sl_20_percent" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "const_per_principal_total" : 520326627.36764717, + "total_installed_cost" : 520326627.36764717, + "equip2_reserve_cost" : 0, + "lib_dispatch_factor9" : 1, + "equip_reserve_depr_sta" : 0, + "pbi_oth_tax_fed" : 1, + "const_per_upfront_rate2" : 0, + "cbi_uti_tax_fed" : 1, + "const_per_interest_rate4" : 0, + "om_production" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "pbi_uti_escal" : 0, + "flip_target_year" : 20, + "const_per_total5" : 0, + "mera_cost3" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "ptc_fed_amount" : [ 0 ], + "ur_energy_history" : 0, + "ibi_uti_percent_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "ui_fuel_cell_cost" : 0, + "ui_battery_cost" : 111900127.3676472, + "const_per_name4" : "Loan 4", + "batt_replacement_option" : 1, + "pbi_uti_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "cbi_uti_maxvalue" : 0, + "revenue_capacity_payments_is_shown" : 0, + "sales_tax_rate" : 5, + "const_per_interest1" : 8455307.6947242673, + "mera_cost1" : 27692309.999999996, + "equip2_reserve_freq" : 0, + "const_per_months2" : 0, + "ibi_uti_amount_tax_sta" : 1, + "real_discount_rate" : 6.4000000000000004, + "revenue_curtailment_is_shown" : 0, + "cbi_oth_tax_sta" : 1, + "itc_sta_amount" : [ 0 ], + "const_per_months4" : 0, + "ur_en_ts_sell_rate" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "equip_reserve_depr_fed" : 0, + "ui_battery_capacity" : 57600.053208000005, + "depr_custom_schedule" : [ 0 ], + "pbi_sta_tax_sta" : 1, + "battery_total_installed_cost" : 111900127.3676472, + "depr_alloc_sl_15_percent" : 0, + "batt_salvage_value" : 0, + "om_capacity" : 0, + "months_receivables_reserve" : 0, + "ur_phase_wiring" : "", + "pbi_sta_term" : 0, + "pbi_fed_for_ds" : 0, + "mera_cost2" : 0, + "depr_bonus_sta_sl_39" : 0, + "debt_message" : "", + "grid_interconnection_limit_kwac" : 20000, + "number table entries" : 377 + }, + "windpower" : { + "bos_cost_total" : 69552000, + "est_turbine_cost" : 0, + "om_fixed" : [ 0 ], + "wind_resource.location_id" : "loc_id", + "wind.turbine.tower_design" : 0, + "env_icing_loss" : 0.20999999999999999, + "sales_tax_rate" : 5, + "system_capacity" : 200000, + "sales_tax_basis" : 0, + "degradation" : [ 0 ], + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "analysis_period" : 25, + "install_type" : 0, + "turb_generic_loss" : 1.7, + "elec_parasitic_loss" : 0.10000000000000001, + "om_fixed_escal" : 0, + "a_error_test_number" : 17.344999999999999, + "turbine_cost_total" : 222480000.00000003, + "wind_resource.closest_dir_meas_ht" : 80, + "avail_loss_total" : 5.5011684999999977, + "ops_env_loss" : 1, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "wind.turbine.name_only" : "0", + "wind_turbine_rated_wind_speed" : 2500, + "avail_grid_loss" : 1.5, + "wind_resource.year" : 1900, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "reference_capacity" : 200000, + "ops_grid_loss" : 0.83999999999999997, + "wind_farm_ycoord_file" : [ 0 ], + "resource_definition_type" : 0, + "sales_tax_total" : 0, + "ui_step_minutes" : 60, + "windfarm.farm.row_spacing" : 8, + "turb_hysteresis_loss" : 0.40000000000000002, + "om_production" : [ 0 ], + "turb_loss_total" : 3.9544963841199987, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_turbine_rotor_diameter_from_lib" : 100, + "wake_future_loss" : 0, + "system_use_lifetime_output" : 0, + "wind.turbine.elevation" : 0, + "windfarm.farm.number_of_rows" : 10, + "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "windfarm.farm.turbine_spacing" : 8, + "weibull_k_factor" : 2, + "wind_turbine_kw_rating_from_lib" : 2500, + "env_env_loss" : 0.40000000000000002, + "wind_turbine_rotor_diameter" : 100, + "windfarm.farm.layout_slider" : 33, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_cut_out" : 25, + "reference_number_turbines" : 80, + "wind_resource.elev" : 1829, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind.turbine.region2nhalf_slope" : 5, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "bos_cost_per_turbine" : 0, + "wind_climate.msg_is_error" : 0, + "wind_resource_model_choice" : 0, + "adjust_constant" : 0, + "wind.turbine.radio_list_or_design" : 0, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_kw_rating" : 2500, + "windfarm.layout.file_or_controls" : 1, + "wind_resource.lon_requested" : 0, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_loss_total" : 2.8034671600000061, + "a_error_test_string" : "\"default err msg\"", + "wind_climate.msg" : "", + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_fixed" : 0, + "windfarm.farm.shape" : 0, + "windfarm.farm.offset_type" : 0, + "user_specified_wf_wind" : " ", + "om_production_escal" : 0, + "wind.turbine.blade_design" : 0, + "wind_resource.country" : "USA", + "adjust_timeindex" : [ 0 ], + "wind.turbine.max_tip_speed" : 80, + "wind_resource_shear" : 0.14000000000000001, + "om_capacity" : [ 40 ], + "total_installed_cost_per_kw" : 1460.1600000000001, + "wind_resource.closest_speed_meas_ht" : 80, + "wind_farm_num_turbines" : 80, + "wind_resource.lat_requested" : 0, + "wind_resource_distribution" : [ [ 0 ] ], + "cols" : 1, + "wind_resource.requested_ht" : 80, + "weibull_reference_height" : 50, + "turbine_cost_per_turbine" : 0, + "avail_turb_loss" : 3.5800000000000001, + "avail_bop_loss" : 0.5, + "env_exposure_loss" : 0, + "weibull_wind_speed" : 7.25, + "wind.turbine.drive_train" : 0, + "rows" : 1, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_turbine_selection" : "GE 2.5xl", + "wind_resource.lat" : 0, + "wind_climate.url_info" : "empty", + "windfarm.farm.layout_angle" : 0, + "wind_farm_sizing_mode" : 2, + "wind.turbine.max_tspeed_ratio" : 8, + "desired_farm_size" : 10, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource.lon" : 0, + "windfarm.farm.turbines_per_row" : 8, + "bos_cost_per_kw" : 347.75999999999999, + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_hub_ht" : 80, + "env_loss_total" : 2.3981951200000018, + "use_specific_wf_wind" : 0, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_loss_total" : 2.0080899999999957, + "specify_label" : 1, + "wake_int_loss" : 0, + "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_turbine_kw_rating_input" : 1500, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "adjust" : 0, + "wind_turbine_cutin" : 4, + "wind_farm_wake_model" : 0, + "wind_resource.city" : "city??", + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "turbine_cost_per_kw" : 1112.4000000000001, + "adjust_en_periods" : 0, + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "inflation_rate" : 2.5, + "step" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "windfarm.farm.offset" : 4, + "wind_resource.state" : "AZ", + "sizing_warning" : 0, + "est_bos_cost" : 0, + "wind.turbine.dummy" : 0, + "wake_loss" : 0, + "ops_load_loss" : 0.98999999999999999, + "om_capacity_escal" : 0, + "wind_turbine_powercurve_err_msg" : "", + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "env_degrad_loss" : 1.8, + "wake_loss_total" : 1.100000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "number table entries" : 149 + }, + "battery" : { + "batt_dispatch_auto_can_charge" : 1, + "dispatch_manual_system_charge_first" : 0, + "batt_dispatch_pvs_ki" : 1.8, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_ui_inverter_eff" : 96, + "genericsys.cost.contingency" : 2750402.5406820006, + "batt_ui_nominal_bank_power" : 60000.055425000006, + "en_standalone_batt" : 0, + "ppa_multiplier_model" : 0, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "genericsys.cost.epc.nonfixed" : 9443048.7230082024, + "batt_qfull_flow" : 479616.75, + "analysis_period" : 25, + "pv.storage.p1.charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_cycle_cost_choice" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_dispatch_auto_can_gridcharge" : 0, + "total_indirect_cost" : 12748115.776061073, + "batt_cell_current_charge_max" : 10, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_gridcharge_percent_4" : 100, + "pv.storage.p3.gridcharge" : 0, + "batt_gridcharge_percent_2" : 100, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "leadacid_q10" : 93, + "pv.storage.p6.discharge" : 0, + "batt_loss_choice" : 0, + "pv.storage.p6.gridcharge" : 0, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "genericsys.cost.sales_tax.value" : 5, + "batt_minimum_soc" : 10, + "battery_total_cost_lcos" : 111900127.3676472, + "pv.storage.p1.gridcharge" : 0, + "batt_discharge_percent_6" : 25, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_5" : 100, + "genericsys.cost.sales_tax.percent" : 100, + "genericsys.cost.per_watt" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "pv.storage.p3.discharge" : 0, + "batt_bank_size" : 240000, + "battery_indirect_cost_percent" : 0, + "batt_mass" : 3428574.595714286, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "hybrid_system_capacity" : 276923.09999999998, + "sales_tax_rate" : 5, + "batt_calendar_q0" : 1.02, + "batt_computed_voltage" : 500.40000000000003, + "genericsys.cost.plm.total" : 3305067.0530528706, + "pv.storage.p6.charge" : 0, + "total_installed_cost" : 111900127.3676472, + "om_fixed_escal" : 0, + "batt_gridcharge_percent_6" : 100, + "batt_dispatch_excl" : 3, + "batt_qnom_percent" : 88.888900000000007, + "pv.storage.p5.dischargetogrid" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_c_rate_max_charge_input" : 0.5, + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "ui_batt_life_model" : 0, + "genericsys.cost.contingency_percent" : 3, + "batt_computed_bank_capacity" : 240000.22170000002, + "leadacid_q20_computed" : 479616.75, + "om_batt_nameplate" : 240000.22170000002, + "om_batt_replacement_cost" : [ 323 ], + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "batt_discharge_percent_1" : 50, + "batt_type" : 5, + "batt_dispatch_pvs_nameplate" : 276923.09999999998, + "batt_calendar_choice" : 1, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_choice_ui" : 3, + "batt_discharge_percent_5" : 25, + "ppa_escalation" : 1, + "batt_discharge_percent_4" : 25, + "batt_ac_dc_efficiency" : 96, + "batt_gridcharge_percent_3" : 100, + "batt_chem" : 1, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_dispatch_pvs_battery_power" : 62500.057734375012, + "pv.storage.p4.charge" : 0, + "pv.storage.p2.gridcharge" : 0, + "batt_c_rate_max_charge" : 0.25, + "batt_vnom_default" : 3.6000000000000001, + "batt_replacement_schedule_percent" : [ 0 ], + "batt_vexp" : 4.0499999999999998, + "pv.storage.p3.dischargetogrid" : 0, + "batt_life_excl" : 0, + "batt_calendar_b" : -7280, + "battery_per_kw" : 236, + "batt_pv_ac_forecast" : [ 0 ], + "wind_ui_step_minutes" : 60, + "batt_dispatch_update_frequency_hours" : 1, + "batt_specific_energy_per_mass" : 70, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "batt_ui_bank_voltage" : 500.40000000000003, + "batt_room_temperature_single" : 25, + "batt_unit_surface_area" : 30, + "batt_replacement_option" : 1, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_bank_voltage" : 500, + "batt_cp" : 1500, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_dispatch_wf_forecast_choice" : 0, + "genericsys.cost.plm.nonfixed" : 3305067.0530528706, + "battery_energy" : 240000.22170000002, + "batt_specific_energy_per_volume" : 82, + "batt_power_charge_max_kwac" : 62500.057734375012, + "batt_dc_ac_efficiency" : 96, + "batt_bank_size_ui" : 240000, + "batt_resistance" : 0.002, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_current_choice" : 1, + "batt_time_capacity" : 4, + "batt_user_specified_weather_file" : "", + "pv.storage.p4.dischargetogrid" : 0, + "om_replacement_cost_escal" : 0, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_minimum_modetime" : 10, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "pv_ui_step_minutes" : 60, + "battery_voltage_shown" : 0, + "batt_current_charge_max" : 119904.1875, + "total_direct_cost" : 94430487.23008202, + "batt_computed_strings" : 213163, + "batt_volume" : 2926.8319719512197, + "ui_copy_batt_discharge_percent_1" : 50, + "batt_surface_area" : 18000.016627500001, + "pv.storage.p2.discharge" : 1, + "batt_size_choice" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_dispatch_pvs_max_ramp" : 10, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_qexp_percent" : 1.7777799999999999, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ui_copy_batt_discharge_percent_2" : 25, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "leadacid_q20" : 100, + "batt_ui_cell_voltage" : 3.6000000000000001, + "batt_voltage_choice" : 0, + "batt_dispatch_pvs_battery_energy" : 240000.22170000002, + "batt_vnom" : 3.3999999999999999, + "ui_copy_batt_discharge_percent_6" : 25, + "pv.storage.p2.dischargetogrid" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "batt_bank_size_dc_ac" : 0, + "batt_initial_soc" : 50, + "leadacid_qn_computed" : 287770.04999999999, + "battery_losses_shown" : 0, + "battery_per_kwh" : 323, + "batt_computed_series" : 139, + "batt_vfull" : 4.0999999999999996, + "batt_gridcharge_percent_1" : 100, + "genericsys.cost.plm.percent" : 3.5, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "battery_total" : 91680084.689400017, + "batt_replacement_capacity" : 50, + "genericsys.cost.plm.fixed" : 0, + "leadacid_tn" : 1, + "pv.storage.p2.charge" : 0, + "batt_look_ahead_hours" : 18, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_meter_position" : 1, + "batt_cycle_cost" : [ 0 ], + "batt_num_cells" : 29629657, + "genericsys.cost.epc.total" : 9443048.7230082024, + "batt_c_rate_max_discharge" : 0.25, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_dispatch_pvs_interconnection_limit" : 20000, + "pv.storage.p1.discharge" : 0, + "batt_custom_dispatch" : [ 0 ], + "batt_c_rate_max_discharge_input" : 0.5, + "battery_thermal_shown" : 0, + "batt_vcut" : 2.706, + "batt_bank_nstrings" : 1, + "inflation_rate" : 2.5, + "batt_cell_power_discharge_max" : 2, + "genericsys.cost.installed_per_capacity" : 1.9427087500000004, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dc_dc_efficiency" : 99, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_room_temperature_vector" : [ 0 ], + "batt_current_discharge_max" : 119904.1875, + "batt_maximum_soc" : 95, + "add_om_num_types" : 1, + "batt_calendar_a" : 0.00266, + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "pv.storage.p5.gridcharge" : 0, + "ppa_price_input" : [ 0.050000000000000003 ], + "ui_copy_batt_discharge_percent_5" : 25, + "batt_calendar_c" : 939, + "pv.storage.p4.discharge" : 0, + "batt_bank_size_specify" : 100, + "batt_qfull" : 2.25, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_bank_nseries_stacks" : 1, + "batt_bank_duration" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_kp" : 1.2, + "batt_unit_capacity" : 400, + "om_capacity_escal" : 0, + "batt_life_model" : 0, + "leadacid_q10_computed" : 446043.57750000001, + "batt_ac_or_dc" : 1, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_dispatch_pvs_wf_timestep" : 60, + "batt_losses" : [ 0 ], + "batt_pvs_user_specified_weather_file" : "", + "leadacid_qn" : 60, + "batt_thermal_choice" : 0, + "batt_duration_choice" : 0, + "system_capacity" : 57600.053208000005, + "pv.storage.p4.gridcharge" : 0, + "batt_cell_current_discharge_max" : 10, + "batt_cell_power_charge_max" : 2, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_qexp" : 0.040000050000000002, + "batt_bank_power" : 60000, + "batt_discharge_percent_3" : 25, + "pv.storage.p5.charge" : 0, + "batt_computed_stacks_series" : 0, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_2" : 25, + "batt_h_to_ambient" : 100, + "batt_bank_power_dc_ac" : 0, + "om_batt_fixed_cost" : [ 0 ], + "battery_power" : 60000.055425000006, + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_qnom" : 2.0000002500000003, + "genericsys.cost.epc.percent" : 10, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "genericsys.cost.epc.fixed" : 0, + "pv.storage.p6.dischargetogrid" : 0, + "compute_as_cube" : 0, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "pv.storage.p1.dischargetogrid" : 0, + "batt_power_charge_max_kwdc" : 60000.055425000006, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "pv.storage.p3.charge" : 0, + "batt_dispatch_choice" : 3, + "batt_bank_ncells_serial" : 3, + "number table entries" : 252 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 3cbac8f1e..945cf42e3 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -74,17 +74,17 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); auto pv_inputs = ssc_data_get_table(inputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 25970, 25970 * 0.01); + EXPECT_NEAR(pvannualenergy, 211907455, 211907455 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); auto wind_inputs = ssc_data_get_table(inputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 5927, 5927 * 0.01); + EXPECT_NEAR(windannualenergy, 818888286, 818888286 * 0.01); auto batt_outputs = ssc_data_get_table(outputs, "battery"); auto batt_inputs = ssc_data_get_table(inputs, "battery"); ssc_data_get_number(batt_outputs, "annual_energy", &battannualenergy); - EXPECT_NEAR(battannualenergy, 31893, 31893 * 0.01); + EXPECT_NEAR(battannualenergy, 1022195808, 1022195808 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); @@ -95,10 +95,10 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(om_expenses[1], 2527, 1); - EXPECT_NEAR(revenue[1], 3189, 1); - EXPECT_NEAR(ebitda[1], 662, 1); - EXPECT_NEAR(npv, -61506, 61506 * 0.001); + EXPECT_NEAR(om_expenses[1], 10772001, 1); + EXPECT_NEAR(revenue[1], 56354351, 1); + EXPECT_NEAR(ebitda[1], 45582350, 1); + EXPECT_NEAR(npv, 22961119, 61506 * 0.001); } ssc_data_free(dat); dat = nullptr; @@ -139,15 +139,15 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 6933, 6933 * 0.01); + EXPECT_NEAR(pvannualenergy, 938557, 938557 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 5927, 5927 * 0.01); + EXPECT_NEAR(windannualenergy, 396876, 396876 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, -45948, 45948 * 0.001); + EXPECT_NEAR(npv, 70350, 70350 * 0.001); } ssc_data_free(dat); dat = nullptr; From 39f35229b8b4f070b5254c9722f88c33d6e5082e Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 08:58:01 -0700 Subject: [PATCH 20/24] update all cmod_hybrids_test to use code generator --- ... FuelCell Battery Hybrid_Single Owner.json | 3482 ++++------------- ...ts Wind Battery Hybrid_Host Developer.json | 665 +--- ...atts Wind Battery Hybrid_Single Owner.json | 646 +-- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 475 --- test/ssc_test/cmod_hybrid_test.cpp | 66 +- 5 files changed, 989 insertions(+), 4345 deletions(-) delete mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index c110d6b30..951884bb2 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -1,2798 +1,686 @@ { - "input": { - "compute_modules" : ["generic_system", "pvwattsv8", "windpower", "fuelcell", "battery", "grid", "utilityrate5", "singleowner"], - "generic_system": { - "spec_mode" : 0, - "derate" : 4, - "system_capacity" : 100000, - "user_capacity_factor" : 90, - "heat_rate" : 10, - "conv_eff" : 34.118048447628794, - "energy_output_array" : [ 0 ], - "system_use_lifetime_output" : 0, - "adjust_constant" : 0, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "adjust_periods" : [ [ 0, 0, 0 ] ], - "generic_degradation": [0], - "om_capacity": [ - 40.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "om_fuel_cost": [ - 10.0 - ], - "om_fuel_cost_escal": 0.0, - "total_installed_cost": 1128750000 - }, - "pvwattsv8": { - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "use_wf_albedo" : 1, - "system_use_lifetime_output" : 0, - "system_capacity" : 100000, - "module_type" : 0, - "dc_ac_ratio" : 1.3, - "bifaciality" : 0, - "array_type" : 2, - "tilt" : 0, - "azimuth" : 180, - "gcr" : 0.29999999999999999, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "losses" : 14.075699999999999, - "en_snowloss" : 0, - "inv_eff" : 96, - "shading_en_string_option" : 0, - "shading_string_option" : 0, - "shading_en_timestep" : 0, - "shading_timestep" : [ [ 0 ] ], - "shading_en_mxh" : 0, - "shading_mxh" : [ [ 0 ] ], - "shading_en_azal" : 0, - "shading_azal" : [ [ 0 ] ], - "shading_en_diff" : 0, - "shading_diff" : 0, - "batt_simple_enable" : 0, - "adjust_constant" : 0, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "adjust_timeindex" : [ 0 ], - "adjust_periods" : [ [ 0, 0, 0 ] ], - "degradation": [0.5], - "om_capacity": [ - 15.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "total_installed_cost": 116394000 - }, - "windpower": { - "wind_resource_model_choice" : 0, - "wind_resource_distribution" : [ [ 3.1185, 45, 0.0344 ], [ 9.1355000000000004, 45, 0.0172 ], [ 15.1525, 45, 0.000457 ], [ 21.169499999999999, 45, 0 ], [ 3.1185, 135, 0.046800000000000001 ], [ 9.1355000000000004, 135, 0.0591 ], [ 15.1525, 135, 0.0032000000000000002 ], [ 21.169499999999999, 135, 0.00011400000000000001 ], [ 3.1185, 225, 0.063799999999999996 ], [ 9.1355000000000004, 225, 0.28199999999999997 ], [ 15.1525, 225, 0.26300000000000001 ], [ 21.169499999999999, 225, 0.0487 ], [ 3.1185, 315, 0.062399999999999997 ], [ 9.1355000000000004, 315, 0.0974 ], [ 15.1525, 315, 0.020500000000000001 ], [ 21.169499999999999, 315, 0.0010300000000000001 ] ], - "weibull_reference_height" : 50, - "weibull_k_factor" : 2, - "weibull_wind_speed" : 7.25, - "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_rotor_diameter" : 100, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_turbine_hub_ht" : 80, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_farm_wake_model" : 0, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "system_capacity" : 200000, - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_int_loss" : 0, - "wake_ext_loss" : 1.1000000000000001, - "wake_future_loss" : 0, - "avail_bop_loss" : 0.5, - "avail_grid_loss" : 1.5, - "avail_turb_loss" : 3.5800000000000001, - "elec_eff_loss" : 1.9099999999999999, - "elec_parasitic_loss" : 0.10000000000000001, - "env_degrad_loss" : 1.8, - "env_exposure_loss" : 0, - "env_env_loss" : 0.40000000000000002, - "env_icing_loss" : 0.20999999999999999, - "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_load_loss" : 0.98999999999999999, - "ops_strategies_loss" : 0, - "turb_generic_loss" : 1.7, - "turb_hysteresis_loss" : 0.40000000000000002, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "adjust_constant" : 0, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "adjust_periods" : [ [ 0, 0, 0 ] ], - "total_uncert" : 12.085, - "om_capacity": [ - 40.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "total_installed_cost": 292032000, - "degradation": [0] - }, - "fuelcell": { - "fuelcell_availability_schedule" : [ [ 0, 0 ] ], - "fuelcell_degradation" : 0.0045662100000000002, - "fuelcell_degradation_restart" : 1, - "fuelcell_fixed_pct" : 95, - "fuelcell_dynamic_response_up" : 500, - "fuelcell_dynamic_response_down" : 500, - "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], - "fuelcell_efficiency_choice" : 0, - "fuelcell_fuel_available" : 10000000000, - "fuelcell_fuel_type" : 0, - "fuelcell_lhv" : 983, - "fuelcell_number_of_units" : 1, - "fuelcell_operation_options" : 1, - "fuelcell_replacement_option" : 0, - "fuelcell_replacement_percent" : 50, - "fuelcell_replacement_schedule" : [ 0 ], - "fuelcell_shutdown_time" : 24, - "fuelcell_startup_time" : 24, - "fuelcell_is_started" : 0, - "fuelcell_type" : 2, - "fuelcell_unit_max_power" : 200, - "fuelcell_unit_min_power" : 60, - "fuelcell_dispatch" : [ 0 ], - "fuelcell_dispatch_choice" : 0, - "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_percent_fc_discharge" : [ 0 ], - "dispatch_manual_units_fc_discharge" : [ 0 ], - "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "total_installed_cost": 1628710264.77, - "om_fuelcell_fixed_cost": [0], - "om_fuel_cost_escal": 0, - "om_fuelcell_variable_cost": [0], - "om_fuelcell_capacity_cost": [27], - "om_fuel_cost": [10], - "om_fixed_cost": [0], - "om_fixed_escal": 0, - "om_production_escal": 0, - "om_capacity_escal": 0, - "degradation": [20] - }, - "battery": { - "system_use_lifetime_output" : 1, - "en_batt" : 1, - "en_standalone_batt" : 0, - "batt_chem" : 1, - "batt_ac_or_dc" : 1, - "batt_dc_dc_efficiency" : 99, - "batt_dc_ac_efficiency" : 96, - "batt_ac_dc_efficiency" : 96, - "batt_meter_position" : 1, - "batt_inverter_efficiency_cutoff" : 90, - "batt_losses" : [ 0 ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_loss_choice" : 0, - "batt_current_choice" : 1, - "batt_computed_strings" : 149880, - "batt_computed_series" : 139, - "batt_computed_bank_capacity" : 239999.84640000004, - "batt_current_charge_max" : 119904, - "batt_current_discharge_max" : 119904, - "batt_power_charge_max_kwdc" : 59999.96160000001, - "batt_power_discharge_max_kwdc" : 59999.96160000001, - "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_voltage_choice" : 0, - "batt_Vfull" : 4.2000000000000002, - "batt_Vexp" : 3.5299999999999998, - "batt_Vnom" : 3.3420000000000001, - "batt_Vnom_default" : 3.6000000000000001, - "batt_Qfull" : 3.2000000000000002, - "batt_Qfull_flow" : 479616.00000000006, - "batt_Qexp" : 2.5840000000000005, - "batt_Qnom" : 3.1260000000000003, - "batt_Vcut" : 2.7719999999999998, - "batt_C_rate" : 0.20000000000000001, - "batt_resistance" : 0.001155, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "LeadAcid_q20_computed" : 479616, - "LeadAcid_q10_computed" : 446042.88, - "LeadAcid_qn_computed" : 287769.59999999998, - "LeadAcid_tn" : 1, - "batt_initial_SOC" : 50, - "batt_minimum_SOC" : 15, - "batt_maximum_SOC" : 95, - "batt_minimum_modetime" : 10, - "batt_life_model" : 1, - "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_calendar_choice" : 1, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_q0" : 1.02, - "batt_calendar_a" : 0.00266, - "batt_calendar_b" : -7280, - "batt_calendar_c" : 939, - "batt_replacement_capacity" : 0, - "batt_replacement_option" : 1, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_mass" : 2376236.1029702974, - "batt_surface_area" : 17999.988480000004, - "batt_Cp" : 1500, - "batt_h_to_ambient" : 100, - "batt_room_temperature_celsius" : [ 25 ], - "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "dispatch_manual_charge" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_gridcharge" : [ 1, 0, 0, 0, 0, 0 ], - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "dispatch_manual_percent_gridcharge" : [ 25, 0 ], - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "dispatch_manual_system_charge_first" : 0, - "batt_custom_dispatch" : [ 0 ], - "batt_dispatch_choice" : 3, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_look_ahead_hours" : 18, - "batt_dispatch_update_frequency_hours" : 1, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "batt_cycle_cost_choice" : 0, - "batt_cycle_cost" : [ 0 ], - "ppa_price_input" : [ 0.45000000000000001 ], - "ppa_multiplier_model" : 0, - "ppa_escalation" : 1, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "en_electricity_rates" : 0, - "rate_escalation" : [ 0 ], - "ur_metering_option" : 4, - "ur_nm_yearend_sell_rate" : 0, - "ur_nm_credit_month" : 11, - "ur_nm_credit_rollover" : 0, - "ur_monthly_fixed_charge" : 0, - "ur_monthly_min_charge" : 0, - "ur_annual_min_charge" : 0, - "ur_en_ts_sell_rate" : 0, - "ur_ts_sell_rate" : [ 0 ], - "ur_en_ts_buy_rate" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], - "ur_dc_enable" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ] ], - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "ur_enable_billing_demand" : 0, - "ur_billing_demand_minimum" : 100, - "ur_billing_demand_lookback_period" : 11, - "ur_billing_demand_lookback_percentages" : [ [ 60, 0 ], [ 60, 0 ], [ 60, 0 ], [ 60, 0 ], [ 60, 0 ], [ 95, 1 ], [ 95, 1 ], [ 95, 1 ], [ 95, 1 ], [ 60, 0 ], [ 60, 0 ], [ 60, 0 ] ], - "ur_dc_billing_demand_periods" : [ [ 1, 1 ] ], - "ur_yearzero_usage_peaks" : [ 234.67599999999999, 173.422, 172.00700000000001, 191.434, 198.29499999999999, 236.46899999999999, 274.23099999999999, 260.33600000000001, 226.751, 185.12299999999999, 156.19999999999999, 184.05000000000001 ], - "grid_curtailment" : [ 9.9999999999999998e+37], - "enable_interconnection_limit" : 0, - "grid_interconnection_limit_kwac" : 100000, - "om_batt_capacity_cost": [ - 5.3 - ], - "om_batt_fixed_cost": [ - 0.0 - ], - "om_batt_nameplate": 9.024, - "om_batt_replacement_cost": [ - 323 - ], - "om_batt_variable_cost": [ - 0.0 - ], - "om_capacity_escal": 0.0, - "om_fixed_escal": 0.0, - "om_production_escal": 0.0, - "om_replacement_cost_escal": 0.0, - "total_installed_cost": 99151856 - } - , - "Hybrid": { - "analysis_period": 30.0, - "batt_replacement_option": 0.0, - "batt_salvage_percentage": 0.0, - "batt_salvage_value": 0.0, - "cbi_fed_amount": 0.0, - "cbi_fed_deprbas_fed": 0.0, - "cbi_fed_deprbas_sta": 0.0, - "cbi_fed_maxvalue": 0.0, - "cbi_fed_tax_fed": 1.0, - "cbi_fed_tax_sta": 1.0, - "cbi_oth_amount": 0.0, - "cbi_oth_deprbas_fed": 0.0, - "cbi_oth_deprbas_sta": 0.0, - "cbi_oth_maxvalue": 0.0, - "cbi_oth_tax_fed": 1.0, - "cbi_oth_tax_sta": 1.0, - "cbi_sta_amount": 0.0, - "cbi_sta_deprbas_fed": 0.0, - "cbi_sta_deprbas_sta": 0.0, - "cbi_sta_maxvalue": 0.0, - "cbi_sta_tax_fed": 1.0, - "cbi_sta_tax_sta": 1.0, - "cbi_uti_amount": 0.0, - "cbi_uti_deprbas_fed": 0.0, - "cbi_uti_deprbas_sta": 0.0, - "cbi_uti_maxvalue": 0.0, - "cbi_uti_tax_fed": 1.0, - "cbi_uti_tax_sta": 1.0, - "chk_update_peaks": 0.0, - "const_per_interest1": 0.0, - "const_per_interest2": 0.0, - "const_per_interest3": 0.0, - "const_per_interest4": 0.0, - "const_per_interest5": 0.0, - "const_per_interest_rate1": 0.0, - "const_per_interest_rate2": 0.0, - "const_per_interest_rate3": 0.0, - "const_per_interest_rate4": 0.0, - "const_per_interest_rate5": 0.0, - "const_per_interest_total": 0.0, - "const_per_months1": 0.0, - "const_per_months2": 0.0, - "const_per_months3": 0.0, - "const_per_months4": 0.0, - "const_per_months5": 0.0, - "const_per_percent1": 0.0, - "const_per_percent2": 0.0, - "const_per_percent3": 0.0, - "const_per_percent4": 0.0, - "const_per_percent5": 0.0, - "const_per_percent_total": 0.0, - "const_per_principal1": 0.0, - "const_per_principal2": 0.0, - "const_per_principal3": 0.0, - "const_per_principal4": 0.0, - "const_per_principal5": 0.0, - "const_per_principal_total": 0.0, - "const_per_total1": 0.0, - "const_per_total2": 0.0, - "const_per_total3": 0.0, - "const_per_total4": 0.0, - "const_per_total5": 0.0, - "const_per_upfront_rate1": 0.0, - "const_per_upfront_rate2": 0.0, - "const_per_upfront_rate3": 0.0, - "const_per_upfront_rate4": 0.0, - "const_per_upfront_rate5": 0.0, - "construction_financing_cost": 0.0, - "cost_debt_closing": 0.0, - "cost_debt_fee": 0.0, - "cost_other_financing": 0.0, - "cp_battery_nameplate": 0.0, - "cp_capacity_credit_percent": [ - 0.0 - ], - "cp_capacity_payment_amount": [ - 0.0 - ], - "cp_capacity_payment_esc": 0.0, - "cp_capacity_payment_type": 0.0, - "cp_system_nameplate": 0.0267205, - "debt_option": 1.0, - "debt_percent": 50.0, - "depr_alloc_custom_percent": 0.0, - "depr_alloc_macrs_15_percent": 0.0, - "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_none": 0.0, - "depr_alloc_sl_15_percent": 0.0, - "depr_alloc_sl_20_percent": 0.0, - "depr_alloc_sl_39_percent": 0.0, - "depr_alloc_sl_5_percent": 0.0, - "depr_bonus_fed": 0.0, - "depr_bonus_fed_custom": 0.0, - "depr_bonus_fed_macrs_15": 0.0, - "depr_bonus_fed_macrs_5": 1.0, - "depr_bonus_fed_sl_15": 0.0, - "depr_bonus_fed_sl_20": 0.0, - "depr_bonus_fed_sl_39": 0.0, - "depr_bonus_fed_sl_5": 0.0, - "depr_bonus_sta": 0.0, - "depr_bonus_sta_custom": 0.0, - "depr_bonus_sta_macrs_15": 0.0, - "depr_bonus_sta_macrs_5": 0.0, - "depr_bonus_sta_sl_15": 0.0, - "depr_bonus_sta_sl_20": 0.0, - "depr_bonus_sta_sl_39": 0.0, - "depr_bonus_sta_sl_5": 0.0, - "depr_custom_schedule": [ - 0.0 - ], - "depr_fedbas_method": 1.0, - "depr_itc_fed_custom": 0.0, - "depr_itc_fed_macrs_15": 0.0, - "depr_itc_fed_macrs_5": 0.0, - "depr_itc_fed_sl_15": 0.0, - "depr_itc_fed_sl_20": 0.0, - "depr_itc_fed_sl_39": 0.0, - "depr_itc_fed_sl_5": 0.0, - "depr_itc_sta_custom": 0.0, - "depr_itc_sta_macrs_15": 0.0, - "depr_itc_sta_macrs_5": 0.0, - "depr_itc_sta_sl_15": 0.0, - "depr_itc_sta_sl_20": 0.0, - "depr_itc_sta_sl_39": 0.0, - "depr_itc_sta_sl_5": 0.0, - "depr_stabas_method": 1.0, - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "dscr": 1.3, - "dscr_limit_debt_fraction": 0.0, - "dscr_maximum_debt_fraction": 100.0, - "dscr_reserve_months": 0.0, - "en_electricity_rates": 0.0, - "enable_interconnection_limit": 0, - "equip1_reserve_cost": 0.0, - "equip1_reserve_freq": 0.0, - "equip2_reserve_cost": 0.0, - "equip2_reserve_freq": 0.0, - "equip3_reserve_cost": 0.0, - "equip3_reserve_freq": 0.0, - "equip_reserve_depr_fed": 0.0, - "equip_reserve_depr_sta": 0.0, - "federal_tax_rate": [ - 25.0 - ], - "flip_target_percent": 10.0, - "flip_target_year": 10.0, - "grid_curtailment": [100.0], - "grid_curtailment_price": [ - 0.0 - ], - "grid_curtailment_price_esc": 0.0, - "grid_interconnection_limit_kwac": 20000.0, - "hybrid_capital_cost": 0.0, - "hybrid_om_capacity_cost": 0.0, - "hybrid_om_fixed_cost": 0.0, - "ibi_fed_amount": 0.0, - "ibi_fed_amount_deprbas_fed": 0.0, - "ibi_fed_amount_deprbas_sta": 0.0, - "ibi_fed_amount_tax_fed": 1.0, - "ibi_fed_amount_tax_sta": 1.0, - "ibi_fed_percent": 0.0, - "ibi_fed_percent_deprbas_fed": 0.0, - "ibi_fed_percent_deprbas_sta": 0.0, - "ibi_fed_percent_maxvalue": 0.0, - "ibi_fed_percent_tax_fed": 1.0, - "ibi_fed_percent_tax_sta": 1.0, - "ibi_oth_amount": 0.0, - "ibi_oth_amount_deprbas_fed": 0.0, - "ibi_oth_amount_deprbas_sta": 0.0, - "ibi_oth_amount_tax_fed": 1.0, - "ibi_oth_amount_tax_sta": 1.0, - "ibi_oth_percent": 0.0, - "ibi_oth_percent_deprbas_fed": 0.0, - "ibi_oth_percent_deprbas_sta": 0.0, - "ibi_oth_percent_maxvalue": 0.0, - "ibi_oth_percent_tax_fed": 1.0, - "ibi_oth_percent_tax_sta": 1.0, - "ibi_sta_amount": 0.0, - "ibi_sta_amount_deprbas_fed": 0.0, - "ibi_sta_amount_deprbas_sta": 0.0, - "ibi_sta_amount_tax_fed": 1.0, - "ibi_sta_amount_tax_sta": 1.0, - "ibi_sta_percent": 0.0, - "ibi_sta_percent_deprbas_fed": 0.0, - "ibi_sta_percent_deprbas_sta": 0.0, - "ibi_sta_percent_maxvalue": 0.0, - "ibi_sta_percent_tax_fed": 1.0, - "ibi_sta_percent_tax_sta": 1.0, - "ibi_uti_amount": 0.0, - "ibi_uti_amount_deprbas_fed": 0.0, - "ibi_uti_amount_deprbas_sta": 0.0, - "ibi_uti_amount_tax_fed": 1.0, - "ibi_uti_amount_tax_sta": 1.0, - "ibi_uti_percent": 0.0, - "ibi_uti_percent_deprbas_fed": 0.0, - "ibi_uti_percent_deprbas_sta": 0.0, - "ibi_uti_percent_maxvalue": 0.0, - "ibi_uti_percent_tax_fed": 1.0, - "ibi_uti_percent_tax_sta": 1.0, - "inflation_rate": 2.5, - "insurance_rate": 1.0, - "is_btm": 0.0, - "itc_fed_amount": [ - 0.0 - ], - "itc_fed_amount_deprbas_fed": 1.0, - "itc_fed_amount_deprbas_sta": 1.0, - "itc_fed_percent": [ - 30.0 - ], - "itc_fed_percent_deprbas_fed": 1.0, - "itc_fed_percent_deprbas_sta": 1.0, - "itc_fed_percent_maxvalue": [ - 1.0 - ], - "itc_sta_amount": [ - 0.0 - ], - "itc_sta_amount_deprbas_fed": 0.0, - "itc_sta_amount_deprbas_sta": 0.0, - "itc_sta_percent": [ - 0.0 - ], - "itc_sta_percent_deprbas_fed": 0.0, - "itc_sta_percent_deprbas_sta": 0.0, - "itc_sta_percent_maxvalue": [ - 1.0 - ], - "loan_moratorium": 0.0, - "mera_cost1": 0.0, - "mera_cost2": 0.0, - "mera_cost3": 0.0, - "months_receivables_reserve": 0.0, - "months_working_reserve": 6.0, - "nominal_discount_rate": 8.1375, - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 - ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.1 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_assessed_value": 86372.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "sales_tax_rate": 0.0, - "salvage_percentage": 10.0, - "salvage_value": 8637.2, - "state_tax_rate": [ - 7.0 - ], - "term_int_rate": 0.0, - "term_tenor": 0.0, - "total_installed_cost": 86372.0, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_is_shown": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_cr_sched": [ - [ - 0.0 - ] - ], - "ur_cr_tou_mat": [ - [ - 0.0 - ] - ], - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_demand_max": 0.0, - "ur_demand_min": 0.0, - "ur_demand_reactive_power_charge": 0.0, - "ur_demand_window": 0.0, - "ur_ec_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_tou_mat": [ - [ - 1.0, - 1.0, - 9.6, - 2.0, - 0.16756, - 0.0 - ], - [ - 1.0, - 2.0, - 12.48, - 2.0, - 0.17999, - 0.0 - ], - [ - 1.0, - 3.0, - 19.2, - 2.0, - 0.34805, - 0.0 - ], - [ - 1.0, - 4.0, - 1e38, - 2.0, - 0.45718, - 0.0 - ], - [ - 2.0, - 1.0, - 9.6, - 2.0, - 0.14747, - 0.0 - ], - [ - 2.0, - 2.0, - 12.48, - 2.0, - 0.1599, - 0.0 - ], - [ - 2.0, - 3.0, - 19.2, - 2.0, - 0.3134, - 0.0 - ], - [ - 2.0, - 4.0, - 1e38, - 2.0, - 0.34283, - 0.0 - ], - [ - 3.0, - 1.0, - 10.1, - 2.0, - 0.14982, - 0.0 - ], - [ - 3.0, - 2.0, - 13.13, - 2.0, - 0.16225, - 0.0 - ], - [ - 3.0, - 3.0, - 20.2, - 2.0, - 0.29989, - 0.0 - ], - [ - 3.0, - 4.0, - 1e38, - 2.0, - 0.35231, - 0.0 - ], - [ - 4.0, - 1.0, - 10.1, - 2.0, - 0.14747, - 0.0 - ], - [ - 4.0, - 2.0, - 13.13, - 2.0, - 0.1599, - 0.0 - ], - [ - 4.0, - 3.0, - 20.2, - 2.0, - 0.29603, - 0.0 - ], - [ - 4.0, - 4.0, - 1e38, - 2.0, - 0.34241, - 0.0 - ] - ], - "ur_en_ts_buy_rate": 0.0, - "ur_en_ts_sell_rate": 0.0, - "ur_enable_billing_demand": 0.0, - "ur_energy_history": 0.0, - "ur_energy_max": 0.0, - "ur_energy_min": 0.0, - "ur_fuel_adjustments_monthly": [ - 0.0 - ], - "ur_is_default": 0.0, - "ur_metering_option": 0.0, - "ur_monthly_fixed_charge": 0.0, - "ur_monthly_min_charge": 0.0, - "ur_nm_credit_month": 0.0, - "ur_nm_credit_rollover": 0.0, - "ur_nm_yearend_sell_rate": 0.0, - "ur_ts_buy_rate": [ - 0.0 - ], - "ur_ts_sell_rate": [ - 0.0 - ], - "ur_unused_is_shown": 0.0, - "ur_voltage_max": 0.0, - "ur_voltage_min": 0.0, - "ur_yearzero_usage_peaks": [ - 0.0 - ] - } - } -} \ No newline at end of file + "input" : { + "compute_modules" : ["generic_system","pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","singleowner"], + "generic_system" : { + "conv_eff" : 34.118048447628794, + "adjust_en_timeindex" : 0, + "energy_output_array" : [ 0 ], + "system_use_lifetime_output" : 0, + "heat_rate" : 10, + "adjust_timeindex" : [ 0 ], + "spec_mode" : 0, + "system_capacity" : 100000, + "om_fuel_cost" : [ 10 ], + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity_escal" : 0, + "total_installed_cost" : 1128750000, + "om_fuel_cost_escal" : 0, + "derate" : 4, + "om_capacity" : [ 40 ], + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "user_capacity_factor" : 90, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "degradation" : [ 0 ], + "adjust_constant" : 0, + "analysis_period" : 25, + "om_fixed" : [ 0 ], + "number table entries" : 24 + }, + "battery" : { + "ppa_multiplier_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "batt_vnom" : 3.3999999999999999, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "om_fixed_escal" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_loss_choice" : 0, + "batt_current_choice" : 1, + "batt_qexp" : 0.040000050000000002, + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_surface_area" : 18000.016627500001, + "batt_cycle_cost_choice" : 0, + "batt_qfull_flow" : 479616.75, + "batt_calendar_q0" : 1.02, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_minimum_soc" : 15, + "total_installed_cost" : 99152011.591586128, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_mass" : 3428574.595714286, + "batt_calendar_b" : -7280, + "batt_chem" : 1, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_dispatch_pvs_ki" : 1.8, + "dispatch_manual_system_charge_first" : 0, + "batt_replacement_schedule_percent" : [ 0 ], + "batt_vexp" : 4.0499999999999998, + "analysis_period" : 25, + "batt_pv_ac_forecast" : [ 0 ], + "batt_replacement_option" : 1, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_cp" : 1500, + "leadacid_tn" : 1, + "batt_replacement_capacity" : 0, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "leadacid_qn_computed" : 287770.04999999999, + "batt_power_charge_max_kwac" : 62500.057734375012, + "batt_dc_ac_efficiency" : 96, + "batt_resistance" : 0.002, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_minimum_modetime" : 10, + "om_replacement_cost_escal" : 0, + "batt_ac_dc_efficiency" : 96, + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_life_model" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "om_capacity_escal" : 0, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "batt_custom_dispatch" : [ 0 ], + "batt_current_charge_max" : 119904.1875, + "batt_dispatch_pvs_kp" : 1.2, + "batt_calendar_c" : 939, + "batt_computed_strings" : 213163, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_maximum_soc" : 95, + "batt_dispatch_pvs_max_ramp" : 10, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_voltage_choice" : 0, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "batt_c_rate" : 0.20000000000000001, + "batt_current_discharge_max" : 119904.1875, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dc_dc_efficiency" : 99, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "om_batt_replacement_cost" : [ 323 ], + "om_batt_variable_cost" : [ 0 ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_vfull" : 4.0999999999999996, + "batt_computed_series" : 139, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "en_standalone_batt" : 0, + "batt_dispatch_choice" : 3, + "om_batt_nameplate" : 240000.22170000002, + "batt_computed_bank_capacity" : 240000.22170000002, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 60000.055425000006, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_cycle_cost" : [ 0 ], + "batt_vcut" : 2.706, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "batt_calendar_a" : 0.00266, + "batt_qfull" : 2.25, + "batt_vnom_default" : 3.6000000000000001, + "batt_losses" : [ 0 ], + "batt_ac_or_dc" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_initial_soc" : 50, + "batt_meter_position" : 1, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_h_to_ambient" : 100, + "ppa_escalation" : 1, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_dispatch_pvs_short_forecast_enable" : 0, + "leadacid_q20_computed" : 479616.75, + "leadacid_q10_computed" : 446043.57750000001, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "number table entries" : 118 + }, + "windpower" : { + "ops_env_loss" : 1, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "adjust_timeindex" : [ 0 ], + "wind_resource_shear" : 0.14000000000000001, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "om_capacity" : [ 40 ], + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "wind_turbine_hub_ht" : 80, + "wind_resource_model_choice" : 0, + "om_fixed" : [ 0 ], + "wind_resource_distribution" : [ [ 0 ] ], + "elec_eff_loss" : 1.9099999999999999, + "ops_load_loss" : 0.98999999999999999, + "number table entries" : 50 + }, + "fuelcell" : { + "add_om_num_types" : 2, + "fuelcell_availability_schedule" : [ [ 0, 0 ] ], + "om_fuelcell_variable_cost" : [ 0 ], + "om_fuel_cost" : [ 10.369999999999999 ], + "fuelcell_replacement_option" : 0, + "dispatch_manual_units_fc_discharge" : [ 0 ], + "fuelcell_operation_options" : 1, + "fuelcell_unit_min_power" : 60, + "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "om_fuelcell_replacement_cost" : [ 0 ], + "fuelcell_number_of_units" : 1, + "om_fuel_cost_escal" : 0, + "fuelcell_degradation_restart" : 1, + "om_fuelcell_fixed_cost" : [ 0 ], + "fuelcell_type" : 2, + "fuelcell_replacement_percent" : 50, + "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], + "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_dispatch_choice" : 0, + "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "fuelcell_degradation" : 0.0045662100456621002, + "fuelcell_dynamic_response_down" : 500, + "total_installed_cost" : 2100000, + "om_capacity_escal" : 0, + "fuelcell_dynamic_response_up" : 500, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], + "om_replacement_cost_escal" : 0, + "fuelcell_fuel_type" : 0, + "om_fuelcell_capacity_cost" : [ 27 ], + "fuelcell_is_started" : 0, + "fuelcell_shutdown_time" : 24, + "fuelcell_startup_time" : 24, + "fuelcell_replacement_schedule" : [ 0 ], + "dispatch_manual_percent_fc_discharge" : [ 0 ], + "fuelcell_efficiency_choice" : 0, + "fuelcell_unit_max_power" : 200, + "analysis_period" : 25, + "fuelcell_fixed_pct" : 95, + "fuelcell_fuel_available" : 10000000000, + "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], + "fuelcell_lhv" : 983, + "number table entries" : 43 + }, + "pvwattsv8" : { + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, + "adjust_constant" : 0, + "total_installed_cost" : 116394500, + "om_capacity_escal" : 0, + "dc_ac_ratio" : 1.3, + "adjust_en_timeindex" : 0, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "om_land_lease" : [ 0 ], + "tilt" : 0, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "batt_simple_enable" : 0, + "analysis_period" : 25, + "module_type" : 0, + "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "system_capacity" : 100000, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "en_snowloss" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, + "array_type" : 2, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity" : [ 15 ], + "land_area" : 433.51782985136145, + "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, + "shading_en_string_option" : 0, + "degradation" : [ 0.5 ], + "om_fixed" : [ 0 ], + "number table entries" : 44 + }, + "hybrid" : { + "ibi_uti_percent_maxvalue" : 0, + "lib_dispatch_factor1" : 1, + "depr_itc_fed_macrs_15" : 0, + "ur_en_ts_buy_rate" : 0, + "ibi_fed_percent_tax_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ibi_sta_amount_deprbas_sta" : 0, + "cbi_oth_maxvalue" : 0, + "const_per_total1" : 43008751.054279134, + "ur_is_default" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_fed_percent" : 0, + "ur_end_date" : "empty", + "depr_itc_fed_custom" : 0, + "pbi_oth_escal" : 0, + "ur_ec_is_shown" : 1, + "fuelcell_total_installed_cost" : 2100000, + "ur_ts_sell_rate" : [ 0 ], + "ur_rate_notes" : "", + "pbi_oth_for_ds" : 0, + "ur_billing_demand_minimum" : 0, + "depr_bonus_fed" : 0, + "depr_bonus_fed_sl_20" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "lib_dispatch_factor7" : 1, + "mera_name1" : "Replacement Reserve 1", + "cbi_uti_deprbas_sta" : 0, + "ur_demand_window" : 0, + "cp_capacity_payment_type" : 0, + "ur_voltage_max" : 0, + "pbi_uti_term" : 0, + "grid_curtailment_price" : [ 0 ], + "const_per_percent4" : 0, + "depr_bonus_fed_sl_5" : 0, + "ur_source" : "empty", + "chk_update_peaks" : 0, + "depr_itc_fed_sl_39" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "depr_alloc_none" : 0, + "depr_bonus_sta_sl_5" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "pbi_fed_amount" : [ 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_energy_attrs" : "", + "is_btm" : 0, + "cbi_fed_tax_fed" : 1, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "lib_dispatch_factor4" : 1, + "depr_bonus_fed_sl_15" : 0, + "cbi_oth_deprbas_sta" : 0, + "lib_dispatch_factor5" : 1, + "depr_itc_sta_sl_15" : 0, + "cbi_uti_amount" : 0, + "equip1_reserve_freq" : 15, + "pbi_fed_tax_sta" : 1, + "const_per_name1" : "Loan 1", + "const_per_interest_total" : 26624464.938363276, + "ur_desc_is_shown" : 0, + "depr_itc_fed_sl_5" : 0, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "const_per_percent1" : 100, + "const_per_total2" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_sta_amount" : 0, + "show_construction_period" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "depr_bonus_sta_sl_15" : 0, + "depr_itc_sta_macrs_5" : 0, + "const_per_interest_rate1" : 6.5, + "ibi_sta_percent_tax_fed" : 1, + "ur_unused_is_shown" : 0, + "cbi_fed_amount" : 0, + "depr_bonus_sta" : 0, + "ibi_fed_amount" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], + "battery_system_capacity" : 57600.053208000005, + "ibi_uti_amount_tax_fed" : 1, + "ibi_uti_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "pv_total_installed_cost" : 116394500, + "ur_utility" : "empty", + "ur_annual_min_charge" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_bonus_fed_sl_39" : 0, + "itc_fed_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "depr_bonus_fed_macrs_5" : 1, + "equip1_reserve_cost" : 0.10000000000000001, + "depr_itc_sta_sl_5" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ui_fuel_cell_capacity" : 200, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, + "generic_system_capacity" : 100000, + "const_per_interest3" : 0, + "rate_escalation" : [ 0 ], + "ibi_uti_percent_deprbas_fed" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_system_capacity" : 200, + "pbi_sta_tax_fed" : 1, + "ppa_escalation" : 1, + "wind_total_installed_cost" : 292032100, + "ur_monthly_fixed_charge" : 0, + "months_working_reserve" : 6, + "cp_battery_nameplate" : 0, + "ur_dc_is_shown" : 1, + "const_per_name3" : "Loan 3", + "batt_power_discharge_max_kwac" : 57600.053208000005, + "ibi_fed_percent_maxvalue" : 0, + "ui_pv_cost" : 116394500, + "const_per_interest_rate5" : 0, + "depr_itc_fed_macrs_5" : 0, + "ur_demand_history" : 0, + "prop_tax_cost_assessed_percent" : 100, + "ptc_fed_term" : 10, + "ac_nameplate" : 76923.100000000006, + "equip3_reserve_cost" : 0, + "depr_alloc_macrs_5_percent" : 100, + "inflation_rate" : 2.5, + "debt_option" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_term" : 0, + "ur_has_unused_items" : 0, + "ibi_fed_amount_tax_fed" : 1, + "mera_name2" : "Replacement Reserve 2", + "revenue_tod_is_shown" : 0, + "cbi_uti_tax_sta" : 1, + "cp_system_nameplate" : 377.12309999999997, + "ur_enable_billing_demand" : 0, + "show_reserveaccounts" : 1, + "equip3_reserve_freq" : 0, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_interest_rate3" : 0, + "const_per_interest4" : 0, + "analysis_period_warning" : "", + "ibi_sta_amount_tax_sta" : 1, + "dispatch_data_filename" : "", + "ibi_oth_percent_maxvalue" : 0, + "depr_itc_fed_sl_15" : 0, + "ptc_sta_term" : 10, + "cost_other_financing" : 0, + "show_debtconstdscr" : 1, + "ur_energy_min" : 0, + "cbi_fed_deprbas_fed" : 0, + "enable_interconnection_limit" : 0, + "const_per_principal4" : 0, + "const_per_interest2" : 0, + "loan_moratorium" : 0, + "const_per_upfront_rate3" : 0, + "const_per_principal5" : 0, + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "const_per_principal2" : 0, + "ur_billing_demand_is_shown" : 0, + "ui_generic_cost" : 1128750000, + "depr_itc_sta_sl_20" : 0, + "salvage_value" : 0, + "property_tax_rate" : 0, + "pbi_fed_escal" : 0, + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "lib_dispatch_factor8" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "ibi_oth_percent_tax_fed" : 1, + "const_per_months5" : 0, + "const_per_interest_rate2" : 0, + "pbi_uti_tax_fed" : 1, + "pbi_oth_term" : 0, + "const_per_principal3" : 0, + "dscr" : 1.3, + "ui_generic_capacity" : 100000, + "ur_start_date" : "empty", + "lib_dispatch_factor3" : 1, + "dscr_reserve_months" : 6, + "depr_itc_sta_macrs_15" : 0, + "ui_electricity_rate_option" : 0, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "const_per_upfront_rate5" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "cbi_oth_amount" : 0, + "ppa_multiplier_model" : 0, + "depr_fedbas_method" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_percent2" : 0, + "const_per_months1" : 6, + "depr_alloc_macrs_15_percent" : 0, + "ibi_uti_percent" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ibi_fed_percent_deprbas_sta" : 0, + "ui_wind_cost" : 292032100, + "ptc_sta_amount" : [ 0 ], + "depr_itc_fed_sl_20" : 0, + "const_per_months3" : 0, + "ui_hyb_pv_capacity" : 76923.100000000006, + "ur_cr_sched" : [ [ 0 ] ], + "wind_system_capacity" : 200000, + "cbi_oth_deprbas_fed" : 0, + "salvage_percentage" : 0, + "const_per_upfront_rate1" : 1, + "depr_alloc_sl_39_percent" : 0, + "cbi_oth_tax_fed" : 1, + "om_fixed" : 0, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "ui_system_nameplate_standalone" : 0, + "term_int_rate" : 7, + "depr_stabas_method" : 1, + "depr_alloc_custom_percent" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "payment_option" : 0, + "ur_uri" : "empty", + "insurance_rate" : 0, + "cbi_sta_tax_fed" : 1, + "federal_tax_rate" : [ 21 ], + "nominal_discount_rate" : 9.0600000000000023, + "ibi_uti_percent_tax_fed" : 1, + "reserves_interest" : 1.7250000000000001, + "hybrid_capital_cost" : 0, + "lib_dispatch_factor2" : 1, + "ur_nm_credit_rollover" : 0, + "ibi_sta_percent" : 0, + "ur_fixed_attrs" : "", + "cp_capacity_credit_percent" : [ 0 ], + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "property_assessed_value" : 1638428611.5915861, + "ppa_price_input" : [ 0.050000000000000003 ], + "ptc_sta_escal" : 0, + "ur_billing_demand_lookback_period" : 0, + "ur_name" : "", + "const_per_total3" : 0, + "system_capacity" : 377123.09999999998, + "const_per_interest5" : 0, + "dscr_limit_debt_fraction" : 0, + "solution_mode_message" : "", + "ibi_fed_percent_tax_fed" : 1, + "ur_demand_reactive_power_charge" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "const_per_name2" : "Loan 2", + "ur_ratedata_filename" : "", + "show_capitalcostso" : 1, + "const_per_total4" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_sta_amount" : 0, + "const_per_name5" : "Loan 5", + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ui_wacc" : 6.7097400000000018, + "depr_itc_sta_custom" : 0, + "cost_debt_fee" : 2.75, + "cbi_fed_deprbas_sta" : 0, + "pbi_sta_for_ds" : 0, + "tod_library" : "Uniform Dispatch", + "const_per_percent_total" : 100, + "real_discount_rate" : 6.4000000000000004, + "generic_total_installed_cost" : 1128750000, + "ibi_uti_amount_tax_sta" : 1, + "const_per_percent3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "depr_bonus_sta_sl_20" : 0, + "ppa_soln_mode" : 1, + "itc_fed_percent_deprbas_sta" : 1, + "prop_tax_assessed_decline" : 0, + "flip_target_percent" : 11, + "ur_schedule_name" : "empty", + "en_electricity_rates" : 0, + "const_per_principal1" : 1638428611.5915861, + "ur_dc_enable" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "pbi_sta_amount" : [ 0 ], + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "ur_voltage_category" : "", + "const_per_percent5" : 0, + "mera_name3" : "Replacement Reserve 3", + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period" : 25, + "ibi_oth_amount" : 0, + "ibi_uti_amount" : 0, + "grid_curtailment_price_esc" : 0, + "lib_dispatch_factor6" : 1, + "ur_description" : "", + "ur_demand_max" : 0, + "cost_debt_closing" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, + "ur_energy_max" : 0, + "construction_financing_cost" : 43008751.054279134, + "depr_itc_sta_sl_39" : 0, + "ur_service_type" : "", + "ur_fuel_adjustments_monthly" : [ 0 ], + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "ur_nm_credit_month" : 0, + "ur_voltage_min" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "depr_alloc_sl_20_percent" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "const_per_principal_total" : 1638428611.5915861, + "total_installed_cost" : 1638428611.5915861, + "equip2_reserve_cost" : 0, + "lib_dispatch_factor9" : 1, + "equip_reserve_depr_sta" : 0, + "pbi_oth_tax_fed" : 1, + "const_per_upfront_rate2" : 0, + "cbi_uti_tax_fed" : 1, + "const_per_interest_rate4" : 0, + "om_production" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "pbi_uti_escal" : 0, + "flip_target_year" : 10, + "const_per_total5" : 0, + "mera_cost3" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "ptc_fed_amount" : [ 0 ], + "ur_energy_history" : 0, + "ibi_uti_percent_deprbas_sta" : 0, + "sales_tax_rate" : 5, + "const_per_interest1" : 26624464.938363276, + "mera_cost1" : 37712310, + "ui_battery_cost" : 99152011.591586128, + "const_per_name4" : "Loan 4", + "depr_bonus_sta_macrs_15" : 0, + "ui_fuel_cell_cost" : 2100000, + "pbi_uti_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "cbi_uti_maxvalue" : 0, + "revenue_capacity_payments_is_shown" : 0, + "revenue_curtailment_is_shown" : 0, + "cbi_oth_tax_sta" : 1, + "itc_sta_amount" : [ 0 ], + "const_per_months4" : 0, + "ur_en_ts_sell_rate" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "const_per_months2" : 0, + "equip2_reserve_freq" : 0, + "equip_reserve_depr_fed" : 0, + "ur_phase_wiring" : "", + "pbi_sta_term" : 0, + "mera_cost2" : 0, + "pbi_fed_for_ds" : 0, + "months_receivables_reserve" : 0, + "debt_message" : "", + "ui_battery_capacity" : 57600.053208000005, + "depr_custom_schedule" : [ 0 ], + "pbi_sta_tax_sta" : 1, + "battery_total_installed_cost" : 99152011.591586128, + "depr_alloc_sl_15_percent" : 0, + "batt_salvage_value" : 0, + "om_capacity" : 0, + "depr_bonus_sta_sl_39" : 0, + "grid_interconnection_limit_kwac" : 20000, + "number table entries" : 380 + }, + "number table entries" : 7 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index 27ece5b73..3957cb032 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -2,173 +2,48 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], "pvwattsv8" : { - "inverter_num_units" : 1, - "grid_percent" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "permitting_per_watt" : 0.029999999999999999, - "permitting_total" : 16200, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], "shading_mxh" : [ [ 0 ] ], - "bos_equip_fixed" : 0, - "om_fixed_escal" : 0, - "installed_per_capacity" : 1.7559200000000001, - "om_production" : [ 0 ], - "land_area_value" : 2.3409962811973517, - "losses" : 14.075660688264469, - "module_is_bifacial" : 0, - "land_per_acre" : 0, - "install_labor_fixed" : 0, - "land_percent" : 0, - "inverter_costunits" : 1, - "landprep_fixed" : 0, - "install_labor_perarea" : 0, - "loss_shading" : 3, - "ui_total_module_area" : 2842.1052631578946, - "shading_en_mxh" : 0, - "contingency_percent" : 4, - "ui_ac_capacity" : 0.46956521739130436, - "total_installed_cost" : 948196.80000000005, - "module_total" : 232200, - "solar_data_source" : "NSRDB", - "tilt" : 20, - "adjust_en_periods" : 0, - "grid_total" : 27000, - "sales_tax_value" : 5, - "modulearray_power" : 540, - "annual_global" : 5.7947616438356171, - "ui_total_land_area_ha" : 0.94736904603199112, - "grid_per_watt" : 0.050000000000000003, - "inverter_total" : 27000, - "dc_ac_ratio" : 1.1499999999999999, - "loss_nameplate" : 1, - "bos_equip_perwatt" : 0.34999999999999998, - "landprep_per_acre" : 0, - "module_num_units" : 1, - "loss_age" : 0, - "shading_en_diff" : 0, - "engr_total" : 162000, - "ui_land_area_per_mw" : 0, - "inflation_rate" : 2.5, - "grid_fixed" : 0, - "user_specified_weather_file" : "", - "loss_wiring" : 2, - "subtotal_direct" : 680400, - "module_power" : 540, - "inv_eff" : 96, - "install_labor_perwatt" : 0.17999999999999999, - "lat" : 33.450000000000003, - "system_use_lifetime_output" : 0, - "loss_snow" : 0, - "ui_land_area_additional" : 0, - "ac_nameplate" : 469.56521739130437, - "per_module" : 0.42999999999999999, "om_production_escal" : 0, - "shading_timestep" : [ [ 0 ] ], - "land_per_watt" : 0, - "is_advanced" : 0, - "modulearray_area" : 2842.1052631578946, + "om_fixed_escal" : 0, "batt_simple_enable" : 0, - "library_paths" : "", - "inverter_power" : 469.56521739130437, - "ui_total_module_area_ha" : 0.28421052631578947, "analysis_period" : 25, + "module_type" : 0, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "total_installed_cost" : 948196.80000000005, + "om_capacity_escal" : 0, + "dc_ac_ratio" : 1.1499999999999999, + "adjust_en_timeindex" : 0, + "en_snowloss" : 0, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "tilt" : 20, "azimuth" : 180, - "land_fixed" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], "system_capacity" : 540, - "module_costunits" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, "shading_en_timestep" : 0, - "contingency" : 27216, - "per_inverter" : 0.050000000000000003, - "user_specified_constant_albedo" : 0.20000000000000001, - "bos_equip_total" : 189000, - "lon" : -111.98, - "en_snowloss" : 0, - "shading" : 0, - "annual_beam" : 7.3356438356164393, - "in_location_options" : 0, + "shading_en_diff" : 0, "shading_en_azal" : 0, - "total_module_area" : 2842.1052631578946, - "pv_land_area_is_shown" : 0, - "bos_equip_perarea" : 0, - "in_location_list" : "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", - "library_folder_list" : "x", - "annual_twet" : "nan", - "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "landprep_per_watt" : 0, - "sales_tax_rate" : 5, - "install_margin_fixed" : 0, - "use_wf_albedo" : 1, - "total_indirect_cost" : 205200, - "permitting_fixed" : 0, - "permitting_percent" : 0, - "gcr" : 0.29999999999999999, - "om_capacity_escal" : 0, - "install_margin_perarea" : 0, - "land_total" : 0, - "tz" : -7, - "step" : 3600, - "landprep_total" : 0, - "ui_use_acre_per_mw" : 0, - "install_margin_perwatt" : 0.25, - "pvwatts.advanced.is_shown" : 0, - "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "annual_tdry" : 21.938470319634703, - "ui_total_module_area_acres" : 0.70229888435920551, - "adjust_en_timeindex" : 0, - "annual_albedo" : 0.18395068539332038, - "array_type" : 1, - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", - "wf_nrecords" : 8760, + "shading_en_string_option" : 0, "shading_diff" : 0, - "en_user_spec_losses" : 0, - "engr_fixed" : 0, - "engr_per_watt" : 0.29999999999999999, - "loss_lid" : 1.5, - "ui_array_land_area_multiplier" : 1, - "total_land_area" : 2.3409962811973517, - "total_direct_cost" : 707616, - "loss_mismatch" : 2, - "shading_string_option" : 0, - "annual_snow" : "nan", - "adjust" : 0, - "use_specific_weather_file" : 0, - "ui_step_minutes" : 60, - "sales_tax_total" : 35380.800000000003, + "shading_azal" : [ [ 0 ] ], + "om_capacity" : [ 22 ], "bifaciality" : 0, - "landprep_percent" : 0, - "country" : "-", - "module_type" : 0, - "loss_avail" : 3, - "om_fixed" : [ 0 ], - "inverterarray_power" : 469.56521739130437, - "annual_diffuse" : 1.3484328767123288, - "engr_percent" : 0, - "elev" : 358, - "in_time_step" : 0, - "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "install_labor_total" : 97200, - "city" : "-", - "ui_array_land_area_acres" : 2.3409962811973517, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", "adjust_constant" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "station_id" : "78208", - "ui_array_land_area_ha" : 0.94736904603199112, - "loss_soiling" : 2, - "annual_wspd" : 1.7864840188646289, - "losses_user" : 14, - "om_capacity" : [ 22 ], - "shading_azal" : [ [ 0 ] ], - "shading_en_string_option" : 0, - "install_margin_total" : 135000, - "state" : "-", - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "degradation" : [ 0.5 ], - "ui_land_area_additional_units" : 0, - "in_nsrdb_options" : 0, - "loss_conn" : 0.5, - "number table entries" : 167 + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "array_type" : 1, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_fixed" : [ 0 ], + "number table entries" : 41 }, "hybrid" : { "om_fixed" : 0, @@ -598,408 +473,174 @@ "number table entries" : 424 }, "windpower" : { - "bos_cost_total" : 191160, - "est_turbine_cost" : 0, - "om_fixed" : [ 0 ], - "wind_resource.location_id" : "loc_id", - "wind.turbine.tower_design" : 0, - "env_icing_loss" : 0.20999999999999999, - "sales_tax_rate" : 5, - "system_capacity" : 100, - "sales_tax_basis" : 0, - "degradation" : [ 0 ], - "wind_farm_xcoordinates" : [ 0 ], - "analysis_period" : 25, - "install_type" : 0, - "turb_generic_loss" : 1.7, - "elec_parasitic_loss" : 0.10000000000000001, - "om_fixed_escal" : 0, - "a_error_test_number" : 17.344999999999999, - "turbine_cost_total" : 273240, - "wind_resource.closest_dir_meas_ht" : 80, - "avail_loss_total" : 5.5011684999999977, "ops_env_loss" : 1, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 464400, - "wind.turbine.name_only" : "0", - "wind_turbine_rated_wind_speed" : 100, + "wind_farm_ycoordinates" : [ 0 ], "avail_grid_loss" : 1.5, - "wind_resource.year" : 1900, + "wind_turbine_rotor_diameter" : 21, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "turb_perf_loss" : 1.1000000000000001, "adjust_periods" : [ [ 0, 0, 0 ] ], - "reference_capacity" : 100, - "ops_grid_loss" : 0.83999999999999997, - "wind_farm_ycoord_file" : [ 0 ], - "resource_definition_type" : 0, - "sales_tax_total" : 0, - "ui_step_minutes" : 60, - "windfarm.farm.row_spacing" : 8, - "turb_hysteresis_loss" : 0.40000000000000002, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_farm_xcoordinates" : [ 0 ], + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "adjust_en_periods" : 0, "om_production" : [ 0 ], - "turb_loss_total" : 3.9544963841199987, - "wind_turbine_iec_class_from_lib" : "Unknown", - "wind_turbine_rotor_diameter_from_lib" : 21, - "wake_future_loss" : 0, - "system_use_lifetime_output" : 0, - "wind.turbine.elevation" : 0, - "windfarm.farm.number_of_rows" : 10, - "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "windfarm.farm.turbine_spacing" : 8, - "weibull_k_factor" : 2, - "wind_turbine_kw_rating_from_lib" : 100, + "turb_hysteresis_loss" : 0.40000000000000002, "env_env_loss" : 0.40000000000000002, - "wind_turbine_rotor_diameter" : 21, - "windfarm.farm.layout_slider" : 0, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "elec_parasitic_loss" : 0.10000000000000001, + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 464400, "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "wind_turbine_cut_out" : 25, - "reference_number_turbines" : 1, - "wind_resource.elev" : 1829, - "wind_farm_ycoordinates" : [ 0 ], - "wind.turbine.region2nhalf_slope" : 5, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "bos_cost_per_turbine" : 0, - "wind_climate.msg_is_error" : 0, - "wind_resource_model_choice" : 0, - "adjust_constant" : 0, - "wind.turbine.radio_list_or_design" : 0, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], - "wind_turbine_kw_rating" : 100, - "windfarm.layout.file_or_controls" : 1, - "wind_resource.lon_requested" : 0, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_loss_total" : 2.8034671600000061, - "a_error_test_string" : "\"default err msg\"", - "wind_climate.msg" : "", - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_fixed" : 0, - "windfarm.farm.shape" : 0, - "windfarm.farm.offset_type" : 0, - "user_specified_wf_wind" : " ", - "om_production_escal" : 0, - "wind.turbine.blade_design" : 0, - "wind_resource.country" : "USA", "adjust_timeindex" : [ 0 ], - "wind.turbine.max_tip_speed" : 80, "wind_resource_shear" : 0.14000000000000001, + "system_capacity" : 100, + "env_degrad_loss" : 1.8, + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_turbulence_coeff" : 0.10000000000000001, "om_capacity" : [ 35 ], - "total_installed_cost_per_kw" : 4644, - "wind_resource.closest_speed_meas_ht" : 80, - "wind_farm_num_turbines" : 1, - "wind_resource.lat_requested" : 0, - "wind_resource_distribution" : [ [ 0 ] ], - "cols" : 1, - "wind_resource.requested_ht" : 80, - "weibull_reference_height" : 50, - "turbine_cost_per_turbine" : 0, - "avail_turb_loss" : 3.5800000000000001, - "avail_bop_loss" : 0.5, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, "env_exposure_loss" : 0, - "weibull_wind_speed" : 7.25, - "wind.turbine.drive_train" : 0, - "rows" : 1, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_turbine_selection" : "Northern Power Northwind 100", - "wind_resource.lat" : 0, - "wind_climate.url_info" : "empty", - "windfarm.farm.layout_angle" : 0, - "wind_farm_sizing_mode" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "desired_farm_size" : 5, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource.lon" : 0, - "windfarm.farm.turbines_per_row" : 10, - "bos_cost_per_kw" : 1911.5999999999999, - "turb_specific_loss" : 0.81000000000000005, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, "wind_turbine_hub_ht" : 80, - "env_loss_total" : 2.3981951200000018, - "use_specific_wf_wind" : 0, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "elec_loss_total" : 2.0080899999999957, - "specify_label" : 0, - "wake_int_loss" : 0, - "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_turbine_kw_rating_input" : 1500, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "adjust" : 0, - "wind_turbine_cutin" : 4, - "wind_farm_wake_model" : 0, - "wind_resource.city" : "city??", - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "turbine_cost_per_kw" : 2732.4000000000001, - "adjust_en_periods" : 0, - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, - "inflation_rate" : 2.5, - "step" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "windfarm.farm.offset" : 0, - "wind_resource.state" : "AZ", - "sizing_warning" : 0, - "est_bos_cost" : 0, - "wind.turbine.dummy" : 0, - "wake_loss" : 0, + "wind_resource_model_choice" : 0, + "om_fixed" : [ 0 ], + "wind_resource_distribution" : [ [ 0 ] ], + "elec_eff_loss" : 1.9099999999999999, "ops_load_loss" : 0.98999999999999999, - "om_capacity_escal" : 0, - "wind_turbine_powercurve_err_msg" : "", - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "env_degrad_loss" : 1.8, - "wake_loss_total" : 1.100000000000001, - "wind_farm_xcoord_file" : [ 0 ], - "number table entries" : 149 + "number table entries" : 50 }, "battery" : { - "batt_load_ac_forecast_escalation" : [ 0 ], - "batt_user_specified_weather_file_peak_shaving" : "", - "batt_dispatch_wf_forecast_choice_psd" : 0, - "genericsys.cost.contingency" : 0, - "batt_ui_nominal_bank_power" : 300.05235000000005, - "en_standalone_batt" : 0, "load_escalation" : [ 0 ], "dispatch_manual_system_charge_first" : 1, - "peak_shaving_batt_dispatch_choice" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "batt_pv_ac_forecast_psd" : [ 0 ], - "battery_per_kwh" : 225.06, - "batt_gridcharge_percent_1" : 100, - "batt_computed_series" : 139, - "batt_vfull" : 4.0999999999999996, - "batt_dispatch_auto_can_charge" : 1, - "pv.storage.p2.charge" : 1, - "batt_replacement_capacity" : 0, - "pv.storage.p4.charge" : 0, - "batt_gridcharge_percent_4" : 100, - "genericsys.cost.epc.percent" : 0, - "genericsys.cost.epc.nonfixed" : 0, - "batt_qfull_flow" : 2398.5, - "batt_dispatch_load_forecast_choice_psd" : 0, - "ui_step_minutes" : 60, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 288.05025600000005, - "batt_dispatch_excl" : 0, - "batt_qnom_percent" : 88.888900000000007, - "batt_c_rate_max_charge_input" : 0.5, - "batt_resistance" : 0.002, - "batt_bank_size_ui" : 1200, - "batt_dispatch_choice" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "genericsys.cost.sales_tax.total" : 0, + "batt_calendar_q0" : 1.02, "batt_vnom" : 3.3999999999999999, + "batt_target_power_monthly" : [ 0 ], + "batt_qfull_flow" : 2398.5, + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "batt_dispatch_auto_can_charge" : 1, + "batt_load_ac_forecast_escalation" : [ 0 ], + "batt_initial_soc" : 50, + "leadacid_q20_computed" : 2398.5, + "leadacid_q10_computed" : 2230.605, + "batt_custom_dispatch" : [ 0 ], + "batt_target_choice" : 0, + "batt_current_charge_max" : 599.625, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "batt_minimum_soc" : 30, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_vexp" : 4.0499999999999998, "analysis_period" : 25, - "pv.storage.p1.gridcharge" : 0, - "batt_gridcharge_percent_5" : 100, - "genericsys.cost.sales_tax.percent" : 0, - "genericsys.cost.per_watt" : 0, - "battery_total_cost_lcos" : 391808.35863000003, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "genericsys.cost.plm.percent" : 0, "batt_replacement_option" : 1, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_bank_voltage" : 500, - "batt_minimum_soc" : 30, + "batt_dispatch_choice" : 0, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_ui_inverter_eff" : 96, - "genericsys.cost.sales_tax.value" : 5, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_power_discharge_max_kwdc" : 300.05235000000005, - "batt_calendar_a" : 0.00266, "add_om_num_types" : 1, - "total_direct_cost" : 391808.35863000003, - "batt_current_charge_max" : 599.625, - "batt_volume" : 14.636700000000003, - "batt_computed_strings" : 1066, - "batt_surface_area" : 90.015705000000011, - "ui_copy_batt_discharge_percent_1" : 25, - "pv.storage.p2.discharge" : 0, - "batt_calendar_c" : 939, - "genericsys.cost.plm.fixed" : 0, - "leadacid_tn" : 1, - "pv.storage.p1.charge" : 1, - "batt_cell_power_charge_max" : 2, - "batt_discharge_percent_5" : 25, - "pv_system_capacity" : 540, - "om_batt_fixed_cost" : [ 0 ], - "batt_bank_power_dc_ac" : 0, - "batt_load_ac_forecast" : [ 0 ], + "grid_interconnection_limit_kwac" : 20000, + "batt_calendar_a" : 0.00266, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, "en_batt" : 1, - "batt_cell_current_charge_max" : 10, - "load_user_forecast_data_psd" : [ 0 ], - "pv.storage.p3.gridcharge" : 0, - "ppa_multiplier_model" : 0, - "pv.storage.p3.charge" : 0, - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "batt_c_rate_max_discharge" : 0.25, - "genericsys.cost.epc.total" : 0, - "pv.storage.p1.discharge" : 0, - "total_indirect_cost" : 0, - "batt_custom_dispatch" : [ 0 ], - "batt_user_specified_weather_file_psd" : "", - "ppa_escalation" : 1, - "batt_discharge_percent_4" : 25, - "batt_gridcharge_percent_3" : 100, - "batt_chem" : 1, - "batt_ac_dc_efficiency" : 96, + "batt_load_ac_forecast" : [ 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "om_batt_variable_cost" : [ 0 ], + "batt_target_power" : [ 0 ], + "om_batt_replacement_cost" : [ 225.06 ], "batt_minimum_modetime" : 10, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "pv.storage.p4.dischargetogrid" : 0, + "batt_ac_dc_efficiency" : 96, "om_replacement_cost_escal" : 0, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_load_escal_choice_peak" : 0, - "batt_calendar_q0" : 1.02, - "genericsys.cost.plm.total" : 0, - "batt_computed_voltage" : 500.40000000000003, - "ui_copy_batt_discharge_percent_4" : 25, - "pv.storage.p3.discharge" : 1, - "batt_dispatch_load_forecast_escal_psd" : [ 0 ], - "pv.storage.p5.charge" : 0, - "batt_target_power" : [ 0 ], - "sales_tax_rate" : 5, - "battery_indirect_cost_percent" : 0, - "batt_mass" : 17145.848571428574, - "genericsys.cost.contingency_percent" : 0, + "batt_chem" : 1, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "ppa_multiplier_model" : 0, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_vnom_default" : 3.6000000000000001, + "batt_qfull" : 2.25, "batt_computed_bank_capacity" : 1200.2094000000002, - "leadacid_q20_computed" : 2398.5, - "batt_pv_ac_forecast_peak" : [ 0 ], - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_batt_nameplate" : 1200.2094000000002, - "om_batt_replacement_cost" : [ 225.06 ], - "batt_discharge_percent_1" : 25, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], "batt_loss_choice" : 0, - "pv.storage.p6.gridcharge" : 0, - "om_production_escal" : 0, - "ui_batt_life_model" : 0, - "batt_vnom_default" : 3.6000000000000001, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_target_power_monthly" : [ 0 ], - "batt_vexp" : 4.0499999999999998, - "pv.storage.p3.dischargetogrid" : 0, - "batt_life_excl" : 0, + "batt_qexp" : 0.040000050000000002, + "batt_current_choice" : 1, "batt_calendar_b" : -7280, - "battery_per_kw" : 405.56, "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_load_escal_choice_psd" : 0, - "pv.storage.p5.discharge" : 0, - "batt_specific_energy_per_mass" : 70, - "batt_computed_stacks_series" : 0, - "batt_target_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_unit_surface_area" : 30, "batt_cp" : 1500, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], "batt_dispatch_auto_can_clipcharge" : 0, + "batt_current_discharge_max" : 599.625, + "batt_dc_dc_efficiency" : 99, + "batt_life_model" : 0, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "batt_dispatch_wf_forecast_choice" : 0, - "genericsys.cost.plm.nonfixed" : 0, - "battery_energy" : 1200.2094000000002, - "batt_specific_energy_per_volume" : 82, - "batt_gridcharge_percent_6" : 100, - "om_fixed_escal" : 0, "total_installed_cost" : 391808.35863000003, - "pv.storage.p5.dischargetogrid" : 0, - "grid_interconnection_limit_kwac" : 20000, - "battery_voltage_shown" : 0, - "batt_size_choice" : 0, - "om_batt_variable_cost" : [ 0 ], - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_qexp_percent" : 1.7777799999999999, - "batt_ui_cell_voltage" : 3.6000000000000001, - "batt_dispatch_discharge_only_load_exceeds_system" : 1, - "batt_voltage_choice" : 0, - "batt_c_rate" : 0.20000000000000001, - "batt_initial_soc" : 50, - "leadacid_qn_computed" : 1439.0999999999999, - "battery_losses_shown" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_qexp" : 0.040000050000000002, - "batt_time_capacity" : 4, - "batt_current_choice" : 1, - "pv.storage.p2.dischargetogrid" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_pv_clipping_forecast" : [ 0 ], + "om_fixed_escal" : 0, "batt_inverter_efficiency_cutoff" : 90, - "batt_discharge_percent_6" : 25, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "pv.storage.p6.discharge" : 0, - "pv.storage.p6.dischargetogrid" : 0, - "compute_as_cube" : 0, + "leadacid_tn" : 1, + "batt_replacement_capacity" : 0, + "batt_vfull" : 4.0999999999999996, + "batt_computed_series" : 139, + "ppa_escalation" : 1, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 288.05025600000005, "batt_power_charge_max_kwac" : 312.55453125000008, + "batt_maximum_soc" : 95, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_resistance" : 0.002, "batt_dc_ac_efficiency" : 96, - "pv.storage.p2.gridcharge" : 1, - "batt_c_rate_max_charge" : 0.25, - "pv.storage.p6.charge" : 0, - "batt_type" : 5, - "batt_calendar_choice" : 1, - "battery_total" : 391808.35863000003, + "leadacid_qn_computed" : 1439.0999999999999, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, "batt_vcut" : 2.706, - "leadacid_q20" : 100, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ui_copy_batt_discharge_percent_2" : 25, - "batt_cell_power_discharge_max" : 2, - "genericsys.cost.installed_per_capacity" : 1.3602083333333332, - "batt_bank_nstrings" : 1, - "inflation_rate" : 2.5, - "batt_dc_dc_efficiency" : 99, + "batt_surface_area" : 90.015705000000011, + "batt_voltage_choice" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_cycle_cost_choice" : 0, + "batt_computed_strings" : 1066, + "batt_calendar_c" : 939, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_room_temperature_vector" : [ 0 ], - "batt_current_discharge_max" : 599.625, - "batt_maximum_soc" : 95, - "ppa_price_input" : [ 0.153 ], - "ui_copy_batt_discharge_percent_5" : 25, - "batt_bank_size" : 1200, - "batt_duration_choice" : 0, - "batt_thermal_choice" : 0, - "leadacid_qn" : 60, - "batt_discharge_percent_2" : 25, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], "batt_h_to_ambient" : 7.5, - "batt_dispatch_load_forecast_choice" : 0, - "batt_unit_capacity" : 400, - "batt_cycle_cost" : [ 0 ], - "batt_meter_position" : 0, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "ppa_price_input" : [ 0.153 ], + "en_wave_batt" : 0, + "batt_dispatch_discharge_only_load_exceeds_system" : 1, "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_cycle_cost" : [ 0 ], + "batt_dispatch_load_forecast_choice" : 0, + "batt_dispatch_charge_only_system_exceeds_load" : 1, "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_losses" : [ 0 ], - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "leadacid_q10_computed" : 2230.605, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_mass" : 17145.848571428574, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_meter_position" : 0, "batt_ac_or_dc" : 1, - "battery_thermal_shown" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_ui_bank_voltage" : 500.40000000000003, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, + "batt_losses" : [ 0 ], + "batt_dispatch_auto_can_gridcharge" : 0, + "en_standalone_batt" : 0, "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_load_forecast_choice_peak_shaving" : 0, - "leadacid_q10" : 93, - "system_capacity" : 288.05025600000005, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "en_wave_batt" : 0, - "batt_power_charge_max_kwdc" : 300.05235000000005, - "batt_num_cells" : 148174, - "pv.storage.p4.gridcharge" : 0, - "batt_cell_current_discharge_max" : 10, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "ui_copy_batt_discharge_percent_3" : 25, "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "pv.storage.p1.dischargetogrid" : 0, - "batt_dispatch_load_forecast_escal_peak_shaving" : [ 0 ], - "batt_dispatch_choice_ui" : 0, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_discharge_percent_3" : 25, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_qfull" : 2.25, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_cycle_cost_choice" : 0, - "load_user_forecast_data_peak_shaving" : [ 0 ], - "genericsys.cost.epc.fixed" : 0, - "battery_power" : 300.05235000000005, - "batt_bank_nseries_stacks" : 1, - "batt_bank_duration" : 1200, - "pv.storage.p4.discharge" : 1, - "batt_bank_size_specify" : 100, - "pv.storage.p5.gridcharge" : 0, - "batt_bank_ncells_serial" : 3, - "batt_bank_power" : 300, - "om_capacity_escal" : 0, - "batt_life_model" : 0, - "number table entries" : 249 + "number table entries" : 114 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 9c19de80b..604d4445d 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -2,180 +2,51 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "pvwattsv8" : { - "bos_equip_fixed" : 0, - "grid_percent" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_land_area_ha" : 175.43871222814653, - "installed_per_capacity" : 1.163945, - "om_production" : [ 0 ], - "land_area_value" : 433.51782985136145, - "losses" : 14.075660688264469, - "inverter_num_units" : 1, - "om_fixed_escal" : 0, - "permitting_per_watt" : 0, - "permitting_total" : 0, "shading_mxh" : [ [ 0 ] ], "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_percent" : 0, - "inverter_costunits" : 1, - "landprep_fixed" : 0, - "ui_total_module_area" : 526315.78947368416, - "shading_en_mxh" : 0, - "contingency_percent" : 3, - "ui_ac_capacity" : 76.92307692307692, + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, + "adjust_constant" : 0, "total_installed_cost" : 116394500, - "module_total" : 39000000, + "om_capacity_escal" : 0, + "dc_ac_ratio" : 1.3, + "adjust_en_timeindex" : 0, "adjust_en_periods" : 0, - "grid_total" : 2000000, - "sales_tax_value" : 5, - "modulearray_power" : 100000, + "om_production" : [ 0 ], "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "grid_per_watt" : 0.02, - "ui_total_land_area_ha" : 175.43871222814653, - "annual_global" : 5.7947616438356171, - "module_is_bifacial" : 0, - "inverter_total" : 5000000, - "ui_land_area_multiplier" : 0, - "dc_ac_ratio" : 1.3, - "loss_nameplate" : 1, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "module_num_units" : 1, - "ui_land_area_per_mw" : 0, - "user_specified_weather_file" : "", - "inflation_rate" : 2.5, - "grid_fixed" : 0, - "loss_wiring" : 2, - "subtotal_direct" : 103000000, - "module_power" : 100000, - "inv_eff" : 96, - "install_labor_perwatt" : 0.17999999999999999, - "lat" : 33.450000000000003, - "system_use_lifetime_output" : 0, - "loss_snow" : 0, - "loss_shading" : 3, - "install_labor_perarea" : 0, - "ui_land_area_additional" : 0, "tilt" : 0, - "solar_data_source" : "NSRDB", - "ac_nameplate" : 76923.076923076922, - "per_module" : 0.39000000000000001, "om_production_escal" : 0, - "shading_timestep" : [ [ 0 ] ], - "land_per_watt" : 0, - "is_advanced" : 0, - "modulearray_area" : 526315.78947368416, + "om_fixed_escal" : 0, "batt_simple_enable" : 0, - "library_paths" : "", - "inverter_power" : 76923.076923076922, - "ui_total_module_area_ha" : 52.631578947368418, - "ui_total_module_area_acres" : 130.05534895540842, - "adjust_en_timeindex" : 0, "analysis_period" : 25, - "sales_tax_rate" : 5, - "landprep_per_watt" : 0.01, + "module_type" : 0, "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, "system_capacity" : 100000, - "land_fixed" : 0, - "module_costunits" : 0, - "shading_en_timestep" : 0, - "contingency" : 3090000, - "per_inverter" : 0.050000000000000003, - "user_specified_constant_albedo" : 0.20000000000000001, - "bos_equip_total" : 28999999.999999996, - "lon" : -111.98, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, "en_snowloss" : 0, - "shading" : 0, - "land_area" : 433.51782985136145, - "install_labor_fixed" : 0, - "land_per_acre" : 0, - "ui_land_lease" : [ 0 ], - "annual_beam" : 7.3356438356164393, - "loss_age" : 0, - "engr_total" : 2000000, - "shading_en_diff" : 0, - "in_location_options" : 0, - "shading_en_azal" : 0, - "total_module_area" : 526315.78947368416, - "pv_land_area_is_shown" : 0, - "bos_equip_perarea" : 0, - "in_location_list" : "", - "library_folder_list" : "x", - "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "annual_twet" : "nan", - "install_margin_fixed" : 0, - "use_wf_albedo" : 1, - "total_indirect_cost" : 5000000, - "permitting_fixed" : 0, - "permitting_percent" : 0, - "gcr" : 0.29999999999999999, - "om_capacity_escal" : 0, - "install_margin_perarea" : 0, - "land_total" : 0, - "tz" : -7, - "step" : 3600, - "landprep_total" : 1000000, - "ui_use_acre_per_mw" : 0, - "install_margin_perwatt" : 0.12, - "pvwatts.advanced.is_shown" : 0, - "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "annual_tdry" : 21.938470319634703, - "annual_albedo" : 0.18395068539332038, - "array_type" : 2, - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", - "wf_nrecords" : 8760, - "shading_diff" : 0, - "en_user_spec_losses" : 0, - "engr_fixed" : 0, - "engr_per_watt" : 0.02, - "loss_lid" : 1.5, - "ui_array_land_area_multiplier" : 1, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, "shading_string_option" : 0, - "annual_snow" : "nan", - "total_direct_cost" : 106090000, - "total_land_area" : 433.51782985136145, - "adjust" : 0, - "use_specific_weather_file" : 0, - "ui_step_minutes" : 60, - "sales_tax_total" : 5304500, - "bifaciality" : 0, - "landprep_percent" : 0, - "country" : "-", - "module_type" : 0, - "loss_avail" : 3, - "om_fixed" : [ 0 ], - "inverterarray_power" : 76923.076923076922, - "annual_diffuse" : 1.3484328767123288, - "engr_percent" : 0, - "elev" : 358, - "in_time_step" : 0, - "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "install_labor_total" : 18000000, - "city" : "-", - "ui_array_land_area_acres" : 433.51782985136145, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "adjust_constant" : 0, - "ui_land_area_units" : 0, + "array_type" : 2, "adjust_periods" : [ [ 0, 0, 0 ] ], - "station_id" : "78208", - "ui_array_land_area_ha" : 175.43871222814653, - "losses_user" : 14, "om_capacity" : [ 15 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "loss_soiling" : 2, - "annual_wspd" : 1.7864840188646289, - "loss_mismatch" : 2, + "land_area" : 433.51782985136145, "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, "shading_en_string_option" : 0, - "install_margin_total" : 12000000, - "state" : "-", - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "degradation" : [ 0.5 ], - "ui_land_area_additional_units" : 0, - "in_nsrdb_options" : 0, - "loss_conn" : 0.5, - "number table entries" : 174 + "om_fixed" : [ 0 ], + "number table entries" : 44 }, "hybrid" : { "ur_rate_notes" : "", @@ -558,411 +429,178 @@ "number table entries" : 377 }, "windpower" : { - "bos_cost_total" : 69552000, - "est_turbine_cost" : 0, - "om_fixed" : [ 0 ], - "wind_resource.location_id" : "loc_id", - "wind.turbine.tower_design" : 0, - "env_icing_loss" : 0.20999999999999999, - "sales_tax_rate" : 5, - "system_capacity" : 200000, - "sales_tax_basis" : 0, - "degradation" : [ 0 ], - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "analysis_period" : 25, - "install_type" : 0, - "turb_generic_loss" : 1.7, - "elec_parasitic_loss" : 0.10000000000000001, - "om_fixed_escal" : 0, - "a_error_test_number" : 17.344999999999999, - "turbine_cost_total" : 222480000.00000003, - "wind_resource.closest_dir_meas_ht" : 80, - "avail_loss_total" : 5.5011684999999977, "ops_env_loss" : 1, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 292032000, - "wind.turbine.name_only" : "0", - "wind_turbine_rated_wind_speed" : 2500, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], "avail_grid_loss" : 1.5, - "wind_resource.year" : 1900, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "turb_perf_loss" : 1.1000000000000001, "adjust_periods" : [ [ 0, 0, 0 ] ], - "reference_capacity" : 200000, - "ops_grid_loss" : 0.83999999999999997, - "wind_farm_ycoord_file" : [ 0 ], - "resource_definition_type" : 0, - "sales_tax_total" : 0, - "ui_step_minutes" : 60, - "windfarm.farm.row_spacing" : 8, - "turb_hysteresis_loss" : 0.40000000000000002, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "adjust_en_periods" : 0, "om_production" : [ 0 ], - "turb_loss_total" : 3.9544963841199987, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_turbine_rotor_diameter_from_lib" : 100, - "wake_future_loss" : 0, - "system_use_lifetime_output" : 0, - "wind.turbine.elevation" : 0, - "windfarm.farm.number_of_rows" : 10, - "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "windfarm.farm.turbine_spacing" : 8, - "weibull_k_factor" : 2, - "wind_turbine_kw_rating_from_lib" : 2500, + "turb_hysteresis_loss" : 0.40000000000000002, "env_env_loss" : 0.40000000000000002, - "wind_turbine_rotor_diameter" : 100, - "windfarm.farm.layout_slider" : 33, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_cut_out" : 25, - "reference_number_turbines" : 80, - "wind_resource.elev" : 1829, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind.turbine.region2nhalf_slope" : 5, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "bos_cost_per_turbine" : 0, - "wind_climate.msg_is_error" : 0, - "wind_resource_model_choice" : 0, - "adjust_constant" : 0, - "wind.turbine.radio_list_or_design" : 0, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], - "wind_turbine_kw_rating" : 2500, - "windfarm.layout.file_or_controls" : 1, - "wind_resource.lon_requested" : 0, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_loss_total" : 2.8034671600000061, - "a_error_test_string" : "\"default err msg\"", - "wind_climate.msg" : "", - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_fixed" : 0, - "windfarm.farm.shape" : 0, - "windfarm.farm.offset_type" : 0, - "user_specified_wf_wind" : " ", - "om_production_escal" : 0, - "wind.turbine.blade_design" : 0, - "wind_resource.country" : "USA", "adjust_timeindex" : [ 0 ], - "wind.turbine.max_tip_speed" : 80, "wind_resource_shear" : 0.14000000000000001, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_turbulence_coeff" : 0.10000000000000001, "om_capacity" : [ 40 ], - "total_installed_cost_per_kw" : 1460.1600000000001, - "wind_resource.closest_speed_meas_ht" : 80, - "wind_farm_num_turbines" : 80, - "wind_resource.lat_requested" : 0, - "wind_resource_distribution" : [ [ 0 ] ], - "cols" : 1, - "wind_resource.requested_ht" : 80, - "weibull_reference_height" : 50, - "turbine_cost_per_turbine" : 0, - "avail_turb_loss" : 3.5800000000000001, - "avail_bop_loss" : 0.5, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, "env_exposure_loss" : 0, - "weibull_wind_speed" : 7.25, - "wind.turbine.drive_train" : 0, - "rows" : 1, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_turbine_selection" : "GE 2.5xl", - "wind_resource.lat" : 0, - "wind_climate.url_info" : "empty", - "windfarm.farm.layout_angle" : 0, - "wind_farm_sizing_mode" : 2, - "wind.turbine.max_tspeed_ratio" : 8, - "desired_farm_size" : 10, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource.lon" : 0, - "windfarm.farm.turbines_per_row" : 8, - "bos_cost_per_kw" : 347.75999999999999, - "turb_specific_loss" : 0.81000000000000005, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, "wind_turbine_hub_ht" : 80, - "env_loss_total" : 2.3981951200000018, - "use_specific_wf_wind" : 0, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_loss_total" : 2.0080899999999957, - "specify_label" : 1, - "wake_int_loss" : 0, - "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_turbine_kw_rating_input" : 1500, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "adjust" : 0, - "wind_turbine_cutin" : 4, - "wind_farm_wake_model" : 0, - "wind_resource.city" : "city??", - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "turbine_cost_per_kw" : 1112.4000000000001, - "adjust_en_periods" : 0, - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, - "inflation_rate" : 2.5, - "step" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "windfarm.farm.offset" : 4, - "wind_resource.state" : "AZ", - "sizing_warning" : 0, - "est_bos_cost" : 0, - "wind.turbine.dummy" : 0, - "wake_loss" : 0, + "wind_resource_model_choice" : 0, + "om_fixed" : [ 0 ], + "wind_resource_distribution" : [ [ 0 ] ], + "elec_eff_loss" : 1.9099999999999999, "ops_load_loss" : 0.98999999999999999, - "om_capacity_escal" : 0, - "wind_turbine_powercurve_err_msg" : "", - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "env_degrad_loss" : 1.8, - "wake_loss_total" : 1.100000000000001, - "wind_farm_xcoord_file" : [ 0 ], - "number table entries" : 149 + "number table entries" : 50 }, "battery" : { "batt_dispatch_auto_can_charge" : 1, - "dispatch_manual_system_charge_first" : 0, - "batt_dispatch_pvs_ki" : 1.8, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_ui_inverter_eff" : 96, - "genericsys.cost.contingency" : 2750402.5406820006, - "batt_ui_nominal_bank_power" : 60000.055425000006, - "en_standalone_batt" : 0, "ppa_multiplier_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "batt_vnom" : 3.3999999999999999, "batt_dispatch_pvs_timestep_multiplier" : 3, - "genericsys.cost.epc.nonfixed" : 9443048.7230082024, - "batt_qfull_flow" : 479616.75, - "analysis_period" : 25, - "pv.storage.p1.charge" : 1, - "om_production_escal" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_cycle_cost_choice" : 0, - "batt_c_rate" : 0.20000000000000001, "batt_dispatch_auto_can_gridcharge" : 0, - "total_indirect_cost" : 12748115.776061073, - "batt_cell_current_charge_max" : 10, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_gridcharge_percent_4" : 100, - "pv.storage.p3.gridcharge" : 0, - "batt_gridcharge_percent_2" : 100, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_q10" : 93, - "pv.storage.p6.discharge" : 0, "batt_loss_choice" : 0, - "pv.storage.p6.gridcharge" : 0, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "genericsys.cost.sales_tax.value" : 5, - "batt_minimum_soc" : 10, - "battery_total_cost_lcos" : 111900127.3676472, - "pv.storage.p1.gridcharge" : 0, - "batt_discharge_percent_6" : 25, - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_5" : 100, - "genericsys.cost.sales_tax.percent" : 100, - "genericsys.cost.per_watt" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "batt_current_choice" : 1, + "batt_qexp" : 0.040000050000000002, + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_surface_area" : 18000.016627500001, + "batt_cycle_cost_choice" : 0, + "total_installed_cost" : 111900127.3676472, + "batt_dispatch_wf_forecast_choice" : 0, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "pv.storage.p3.discharge" : 0, - "batt_bank_size" : 240000, - "battery_indirect_cost_percent" : 0, - "batt_mass" : 3428574.595714286, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "hybrid_system_capacity" : 276923.09999999998, - "sales_tax_rate" : 5, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "leadacid_qn_computed" : 287770.04999999999, + "batt_qfull_flow" : 479616.75, "batt_calendar_q0" : 1.02, - "batt_computed_voltage" : 500.40000000000003, - "genericsys.cost.plm.total" : 3305067.0530528706, - "pv.storage.p6.charge" : 0, - "total_installed_cost" : 111900127.3676472, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_minimum_soc" : 10, "om_fixed_escal" : 0, - "batt_gridcharge_percent_6" : 100, - "batt_dispatch_excl" : 3, - "batt_qnom_percent" : 88.888900000000007, - "pv.storage.p5.dischargetogrid" : 0, - "grid_interconnection_limit_kwac" : 20000, - "batt_c_rate_max_charge_input" : 0.5, - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "ui_batt_life_model" : 0, - "genericsys.cost.contingency_percent" : 3, - "batt_computed_bank_capacity" : 240000.22170000002, - "leadacid_q20_computed" : 479616.75, - "om_batt_nameplate" : 240000.22170000002, - "om_batt_replacement_cost" : [ 323 ], - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "batt_discharge_percent_1" : 50, - "batt_type" : 5, - "batt_dispatch_pvs_nameplate" : 276923.09999999998, + "om_production_escal" : 0, "batt_calendar_choice" : 1, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_choice_ui" : 3, - "batt_discharge_percent_5" : 25, - "ppa_escalation" : 1, - "batt_discharge_percent_4" : 25, - "batt_ac_dc_efficiency" : 96, - "batt_gridcharge_percent_3" : 100, + "batt_mass" : 3428574.595714286, + "batt_calendar_b" : -7280, "batt_chem" : 1, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_dispatch_pvs_battery_power" : 62500.057734375012, - "pv.storage.p4.charge" : 0, - "pv.storage.p2.gridcharge" : 0, - "batt_c_rate_max_charge" : 0.25, - "batt_vnom_default" : 3.6000000000000001, + "batt_dispatch_pvs_soc_rest" : 50, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_pvs_ki" : 1.8, + "dispatch_manual_system_charge_first" : 0, "batt_replacement_schedule_percent" : [ 0 ], "batt_vexp" : 4.0499999999999998, - "pv.storage.p3.dischargetogrid" : 0, - "batt_life_excl" : 0, - "batt_calendar_b" : -7280, - "battery_per_kw" : 236, + "analysis_period" : 25, "batt_pv_ac_forecast" : [ 0 ], - "wind_ui_step_minutes" : 60, - "batt_dispatch_update_frequency_hours" : 1, - "batt_specific_energy_per_mass" : 70, - "batt_dispatch_pvs_ac_ub_enable" : 0, - "batt_ui_bank_voltage" : 500.40000000000003, - "batt_room_temperature_single" : 25, - "batt_unit_surface_area" : 30, "batt_replacement_option" : 1, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_bank_voltage" : 500, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "batt_cp" : 1500, - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_dispatch_wf_forecast_choice" : 0, - "genericsys.cost.plm.nonfixed" : 3305067.0530528706, - "battery_energy" : 240000.22170000002, - "batt_specific_energy_per_volume" : 82, + "batt_replacement_capacity" : 50, + "leadacid_tn" : 1, "batt_power_charge_max_kwac" : 62500.057734375012, "batt_dc_ac_efficiency" : 96, - "batt_bank_size_ui" : 240000, "batt_resistance" : 0.002, "batt_room_temperature_celsius" : [ 25 ], "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_current_choice" : 1, - "batt_time_capacity" : 4, - "batt_user_specified_weather_file" : "", - "pv.storage.p4.dischargetogrid" : 0, "om_replacement_cost_escal" : 0, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_ac_dc_efficiency" : 96, "batt_minimum_modetime" : 10, + "inflation_rate" : 2.5, + "om_batt_fixed_cost" : [ 0 ], + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_life_model" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "om_capacity_escal" : 0, "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "pv_ui_step_minutes" : 60, - "battery_voltage_shown" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "batt_custom_dispatch" : [ 0 ], "batt_current_charge_max" : 119904.1875, - "total_direct_cost" : 94430487.23008202, + "batt_dispatch_pvs_kp" : 1.2, + "batt_calendar_c" : 939, "batt_computed_strings" : 213163, - "batt_volume" : 2926.8319719512197, - "ui_copy_batt_discharge_percent_1" : 50, - "batt_surface_area" : 18000.016627500001, - "pv.storage.p2.discharge" : 1, - "batt_size_choice" : 0, - "om_batt_variable_cost" : [ 0 ], + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_maximum_soc" : 95, "batt_dispatch_pvs_max_ramp" : 10, "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_qexp_percent" : 1.7777799999999999, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ui_copy_batt_discharge_percent_2" : 25, "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_q20" : 100, - "batt_ui_cell_voltage" : 3.6000000000000001, "batt_voltage_choice" : 0, - "batt_dispatch_pvs_battery_energy" : 240000.22170000002, - "batt_vnom" : 3.3999999999999999, - "ui_copy_batt_discharge_percent_6" : 25, - "pv.storage.p2.dischargetogrid" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "batt_current_discharge_max" : 119904.1875, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dc_dc_efficiency" : 99, + "om_batt_replacement_cost" : [ 323 ], + "om_batt_variable_cost" : [ 0 ], "batt_inverter_efficiency_cutoff" : 90, - "batt_bank_size_dc_ac" : 0, - "batt_initial_soc" : 50, - "leadacid_qn_computed" : 287770.04999999999, - "battery_losses_shown" : 0, - "battery_per_kwh" : 323, - "batt_computed_series" : 139, "batt_vfull" : 4.0999999999999996, - "batt_gridcharge_percent_1" : 100, - "genericsys.cost.plm.percent" : 3.5, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "battery_total" : 91680084.689400017, - "batt_replacement_capacity" : 50, - "genericsys.cost.plm.fixed" : 0, - "leadacid_tn" : 1, - "pv.storage.p2.charge" : 0, - "batt_look_ahead_hours" : 18, + "batt_computed_series" : 139, + "ppa_escalation" : 1, + "batt_dispatch_choice" : 3, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "om_batt_nameplate" : 240000.22170000002, + "batt_computed_bank_capacity" : 240000.22170000002, + "batt_power_charge_max_kwdc" : 60000.055425000006, "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_meter_position" : 1, "batt_cycle_cost" : [ 0 ], - "batt_num_cells" : 29629657, - "genericsys.cost.epc.total" : 9443048.7230082024, - "batt_c_rate_max_discharge" : 0.25, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_dispatch_pvs_interconnection_limit" : 20000, - "pv.storage.p1.discharge" : 0, - "batt_custom_dispatch" : [ 0 ], - "batt_c_rate_max_discharge_input" : 0.5, - "battery_thermal_shown" : 0, "batt_vcut" : 2.706, - "batt_bank_nstrings" : 1, - "inflation_rate" : 2.5, - "batt_cell_power_discharge_max" : 2, - "genericsys.cost.installed_per_capacity" : 1.9427087500000004, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dc_dc_efficiency" : 99, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_room_temperature_vector" : [ 0 ], - "batt_current_discharge_max" : 119904.1875, - "batt_maximum_soc" : 95, - "add_om_num_types" : 1, - "batt_calendar_a" : 0.00266, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], "batt_power_discharge_max_kwdc" : 60000.055425000006, - "pv.storage.p5.gridcharge" : 0, - "ppa_price_input" : [ 0.050000000000000003 ], - "ui_copy_batt_discharge_percent_5" : 25, - "batt_calendar_c" : 939, - "pv.storage.p4.discharge" : 0, - "batt_bank_size_specify" : 100, + "batt_calendar_a" : 0.00266, "batt_qfull" : 2.25, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_nseries_stacks" : 1, - "batt_bank_duration" : 0, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_kp" : 1.2, - "batt_unit_capacity" : 400, - "om_capacity_escal" : 0, - "batt_life_model" : 0, - "leadacid_q10_computed" : 446043.57750000001, + "batt_vnom_default" : 3.6000000000000001, + "batt_losses" : [ 0 ], "batt_ac_or_dc" : 1, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_dispatch_pvs_wf_timestep" : 60, - "batt_losses" : [ 0 ], - "batt_pvs_user_specified_weather_file" : "", - "leadacid_qn" : 60, - "batt_thermal_choice" : 0, - "batt_duration_choice" : 0, - "system_capacity" : 57600.053208000005, - "pv.storage.p4.gridcharge" : 0, - "batt_cell_current_discharge_max" : 10, - "batt_cell_power_charge_max" : 2, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_qexp" : 0.040000050000000002, - "batt_bank_power" : 60000, - "batt_discharge_percent_3" : 25, - "pv.storage.p5.charge" : 0, - "batt_computed_stacks_series" : 0, + "batt_initial_soc" : 50, + "batt_meter_position" : 1, + "en_standalone_batt" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_2" : 25, "batt_h_to_ambient" : 100, - "batt_bank_power_dc_ac" : 0, - "om_batt_fixed_cost" : [ 0 ], - "battery_power" : 60000.055425000006, - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_qnom" : 2.0000002500000003, - "genericsys.cost.epc.percent" : 10, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "leadacid_q20_computed" : 479616.75, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "leadacid_q10_computed" : 446043.57750000001, + "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_nameplate_ac" : 20000, - "genericsys.cost.epc.fixed" : 0, - "pv.storage.p6.dischargetogrid" : 0, - "compute_as_cube" : 0, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "pv.storage.p1.dischargetogrid" : 0, - "batt_power_charge_max_kwdc" : 60000.055425000006, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "pv.storage.p3.charge" : 0, - "batt_dispatch_choice" : 3, - "batt_bank_ncells_serial" : 3, - "number table entries" : 252 + "number table entries" : 118 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json deleted file mode 100644 index 5facd5022..000000000 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ /dev/null @@ -1,475 +0,0 @@ -{ - "input" : { - "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], - "windpower" : { - "om_fixed_escal" : 0, - "turb_specific_loss" : 0.81000000000000005, - "wind_resource_distribution" : [ [ 0 ] ], - "avail_bop_loss" : 0.5, - "weibull_wind_speed" : 7.25, - "env_degrad_loss" : 1.8, - "adjust_en_timeindex" : 0, - "weibull_reference_height" : 50, - "degradation" : [ 0 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "om_production_escal" : 0, - "turb_perf_loss" : 1.1000000000000001, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_resource_model_choice" : 0, - "wind_turbine_hub_ht" : 80, - "avail_turb_loss" : 3.5800000000000001, - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "adjust_constant" : 0, - "weibull_k_factor" : 2, - "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_farm_wake_model" : 0, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "adjust_en_periods" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "adjust_timeindex" : [ 0 ], - "om_capacity" : [ 40 ], - "system_capacity" : 200000, - "turb_hysteresis_loss" : 0.40000000000000002, - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "ops_load_loss" : 0.98999999999999999, - "wake_future_loss" : 0, - "elec_parasitic_loss" : 0.10000000000000001, - "ops_strategies_loss" : 0, - "elec_eff_loss" : 1.9099999999999999, - "turb_generic_loss" : 1.7, - "env_env_loss" : 0.40000000000000002, - "env_exposure_loss" : 0, - "ops_grid_loss" : 0.83999999999999997, - "env_icing_loss" : 0.20999999999999999, - "ops_env_loss" : 1, - "wake_ext_loss" : 1.1000000000000001, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "om_capacity_escal" : 0, - "om_fixed" : [ 0 ], - "om_production" : [ 0 ], - "number table entries" : 50 - }, - "pvwattsv8" : { - "array_type" : 2, - "dc_ac_ratio" : 1.3, - "shading_timestep" : [ [ 0 ] ], - "shading_en_diff" : 0, - "om_land_lease" : [ 0 ], - "losses" : 14.075660688264469, - "shading_azal" : [ [ 0 ] ], - "shading_string_option" : 0, - "om_land_lease_escal" : 0, - "adjust_en_periods" : 0, - "inv_eff" : 96, - "analysis_period" : 25, - "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "om_production_escal" : 0, - "system_use_lifetime_output" : 0, - "batt_simple_enable" : 0, - "degradation" : [ 0.5 ], - "azimuth" : 180, - "gcr" : 0.29999999999999999, - "shading_mxh" : [ [ 0 ] ], - "tilt" : 0, - "module_type" : 0, - "system_capacity" : 100000, - "shading_diff" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "om_capacity" : [ 15 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "total_installed_cost" : 116394500, - "shading_en_timestep" : 0, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "adjust_en_timeindex" : 0, - "adjust_constant" : 0, - "en_snowloss" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "shading_en_azal" : 0, - "bifaciality" : 0, - "shading_en_mxh" : 0, - "om_production" : [ 0 ], - "shading_en_string_option" : 0, - "use_wf_albedo" : 1, - "om_fixed" : [ 0 ], - "om_fixed_escal" : 0, - "om_capacity_escal" : 0, - "land_area" : 433.51782985136145, - "number table entries" : 44 - }, - "battery" : { - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_losses" : [ 0 ], - "leadacid_q20_computed" : 479616, - "batt_qexp" : 2.5840000000000005, - "leadacid_q10_computed" : 446042.88, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_cycle_cost_choice" : 0, - "batt_room_temperature_celsius" : [ 25 ], - "batt_resistance" : 0.001155, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ppa_price_input" : [ 0.050000000000000003 ], - "leadacid_tn" : 1, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287769.59999999998, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_qnom" : 3.1260000000000003, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_kp" : 1.2, - "batt_computed_bank_capacity" : 239999.84640000004, - "batt_power_discharge_max_kwdc" : 59999.96160000001, - "add_om_num_types" : 1, - "batt_chem" : 1, - "om_replacement_cost_escal" : 0, - "batt_life_model" : 1, - "batt_c_rate" : 0.20000000000000001, - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_computed_series" : 139, - "om_batt_variable_cost" : [ 0 ], - "om_batt_nameplate" : 239999.84640000004, - "batt_surface_area" : 17999.988480000004, - "batt_loss_choice" : 0, - "batt_meter_position" : 1, - "batt_maximum_soc" : 95, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_vcut" : 2.7719999999999998, - "batt_vnom_default" : 3.6000000000000001, - "batt_vfull" : 4.2000000000000002, - "batt_computed_strings" : 149880, - "en_standalone_batt" : 0, - "batt_current_discharge_max" : 119904, - "batt_dc_dc_efficiency" : 99, - "batt_qfull_flow" : 479616.00000000006, - "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_initial_soc" : 50, - "batt_inverter_efficiency_cutoff" : 90, - "batt_current_charge_max" : 119904, - "batt_qfull" : 3.2000000000000002, - "batt_current_choice" : 1, - "om_capacity_escal" : 0, - "batt_ac_or_dc" : 1, - "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_ac_dc_efficiency" : 96, - "batt_power_charge_max_kwdc" : 59999.96160000001, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_voltage_choice" : 0, - "batt_vexp" : 3.5299999999999998, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_vnom" : 3.3420000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "dispatch_manual_system_charge_first" : 0, - "batt_cp" : 1500, - "batt_mass" : 2376236.1029702974, - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_h_to_ambient" : 100, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_calendar_a" : 0.00266, - "batt_calendar_b" : -7280, - "batt_calendar_q0" : 1.02, - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "batt_calendar_c" : 939, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_choice" : 1, - "ppa_escalation" : 1, - "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_replacement_capacity" : 50, - "batt_replacement_option" : 1, - "batt_cycle_cost" : [ 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_ac_ub_enable" : 0, - "om_batt_fixed_cost" : [ 0 ], - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_ki" : 1.8, - "batt_dispatch_pvs_max_ramp" : 10, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate_ac" : 20000, - "total_installed_cost" : 111899952.38398466, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_custom_dispatch" : [ 0 ], - "dispatch_manual_percent_gridcharge" : [ 0 ], - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "analysis_period" : 25, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_minimum_soc" : 10, - "batt_minimum_modetime" : 10, - "om_production_escal" : 0, - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_charge" : 1, - "batt_dispatch_choice" : 3, - "om_batt_replacement_cost" : [ 323 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ppa_multiplier_model" : 0, - "number table entries" : 118 - }, - "hybrid" : { - "depr_bonus_fed_custom" : 0, - "flip_target_year" : 20, - "om_capacity" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "ibi_oth_amount_tax_sta" : 1, - "cost_other_financing" : 0, - "cp_capacity_payment_type" : 0, - "inflation_rate" : 2.5, - "depr_bonus_fed" : 0, - "flip_target_percent" : 11, - "cp_capacity_payment_esc" : 0, - "term_int_rate" : 4, - "property_tax_rate" : 0, - "ptc_fed_escal" : 0, - "months_working_reserve" : 6, - "system_capacity" : 276923.07692307694, - "ibi_fed_percent_maxvalue" : 0, - "pbi_oth_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "cost_debt_fee" : 2.75, - "enable_interconnection_limit" : 0, - "equip3_reserve_freq" : 0, - "ibi_oth_percent_tax_fed" : 1, - "dscr_maximum_debt_fraction" : 100, - "ptc_sta_amount" : [ 0 ], - "itc_fed_percent_deprbas_fed" : 1, - "cbi_fed_deprbas_fed" : 0, - "debt_option" : 1, - "real_discount_rate" : 6.4000000000000004, - "itc_sta_amount" : [ 0 ], - "depr_alloc_sl_15_percent" : 0, - "rate_escalation" : [ 0 ], - "prop_tax_assessed_decline" : 0, - "pbi_oth_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "depr_itc_sta_macrs_15" : 0, - "ur_enable_billing_demand" : 0, - "debt_percent" : 60, - "batt_salvage_percentage" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ibi_fed_amount_deprbas_sta" : 0, - "salvage_percentage" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "depr_alloc_macrs_5_percent" : 100, - "total_installed_cost" : 520326452.38398468, - "pbi_uti_tax_sta" : 1, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_uti_deprbas_fed" : 0, - "depr_bonus_fed_macrs_15" : 0, - "om_fixed" : 0, - "om_production" : 0, - "term_tenor" : 18, - "ur_billing_demand_lookback_period" : 0, - "state_tax_rate" : [ 7 ], - "analysis_period" : 25, - "ibi_uti_amount_deprbas_fed" : 0, - "federal_tax_rate" : [ 21 ], - "equip_reserve_depr_sta" : 0, - "equip3_reserve_cost" : 0, - "dscr" : 1.3, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_fed_maxvalue" : 0, - "ibi_sta_percent" : 0, - "pbi_sta_term" : 0, - "ur_monthly_fixed_charge" : 0, - "insurance_rate" : 0, - "ibi_uti_percent_tax_fed" : 1, - "prop_tax_cost_assessed_percent" : 100, - "depr_itc_sta_sl_15" : 0, - "ibi_fed_amount" : 0, - "ptc_fed_amount" : [ 0 ], - "ibi_uti_percent_deprbas_sta" : 0, - "cbi_fed_tax_sta" : 1, - "pbi_fed_tax_fed" : 1, - "depr_alloc_sl_5_percent" : 0, - "cbi_sta_amount" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "payment_option" : 0, - "pbi_sta_for_ds" : 0, - "pbi_fed_escal" : 0, - "grid_curtailment_price_esc" : 0, - "depr_custom_schedule" : [ 0 ], - "dscr_limit_debt_fraction" : 0, - "cost_debt_closing" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "loan_moratorium" : 0, - "cbi_oth_tax_fed" : 1, - "batt_replacement_option" : 1, - "itc_fed_amount_deprbas_fed" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_sta_sl_39" : 0, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_amount_tax_fed" : 1, - "ur_nm_credit_rollover" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_uti_percent_maxvalue" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "construction_financing_cost" : 13658569.375079598, - "cbi_uti_maxvalue" : 0, - "pbi_uti_escal" : 0, - "depr_fedbas_method" : 1, - "ibi_uti_amount_tax_sta" : 1, - "ptc_sta_escal" : 0, - "depr_bonus_sta_macrs_5" : 0, - "itc_sta_percent_deprbas_fed" : 0, - "pbi_oth_escal" : 0, - "months_receivables_reserve" : 0, - "cbi_sta_tax_sta" : 1, - "ibi_sta_amount" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "ibi_oth_amount" : 0, - "ibi_sta_percent_tax_fed" : 1, - "dscr_reserve_months" : 6, - "equip1_reserve_freq" : 15, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "equip2_reserve_cost" : 0, - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_fed_sl_39" : 0, - "reserves_interest" : 1.25, - "depr_itc_sta_custom" : 0, - "ppa_escalation" : 1, - "ppa_price_input" : [ 0.050000000000000003 ], - "ibi_oth_percent_maxvalue" : 0, - "ppa_soln_mode" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "depr_alloc_sl_20_percent" : 0, - "en_electricity_rates" : 1, - "ibi_sta_amount_deprbas_sta" : 0, - "itc_fed_amount" : [ 0 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pbi_sta_tax_fed" : 1, - "depr_bonus_fed_sl_15" : 0, - "pbi_sta_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "depr_itc_sta_macrs_5" : 0, - "ppa_multiplier_model" : 0, - "cp_battery_nameplate" : 0, - "ptc_fed_term" : 10, - "cp_capacity_credit_percent" : [ 0 ], - "pbi_oth_amount" : [ 0 ], - "ibi_sta_percent_deprbas_sta" : 0, - "cp_system_nameplate" : 276.92307692307696, - "grid_curtailment_price" : [ 0 ], - "depr_bonus_fed_sl_5" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "itc_fed_percent" : [ 30 ], - "ptc_sta_term" : 10, - "pbi_uti_tax_fed" : 1, - "itc_sta_amount_deprbas_fed" : 0, - "pbi_fed_for_ds" : 0, - "itc_sta_percent" : [ 0 ], - "itc_sta_percent_deprbas_sta" : 0, - "ibi_sta_amount_tax_sta" : 1, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "depr_alloc_macrs_15_percent" : 0, - "cbi_uti_tax_fed" : 1, - "ibi_uti_amount_deprbas_sta" : 0, - "cbi_fed_amount" : 0, - "cbi_fed_tax_fed" : 1, - "cbi_sta_tax_fed" : 1, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "ibi_fed_percent_deprbas_fed" : 0, - "depr_itc_fed_sl_39" : 0, - "cbi_oth_amount" : 0, - "depr_bonus_fed_sl_20" : 0, - "cbi_oth_deprbas_fed" : 0, - "cbi_oth_deprbas_sta" : 0, - "pbi_fed_tax_sta" : 1, - "cbi_oth_maxvalue" : 0, - "cbi_oth_tax_sta" : 1, - "cbi_sta_deprbas_fed" : 0, - "cbi_sta_deprbas_sta" : 0, - "cbi_sta_maxvalue" : 0, - "ibi_fed_amount_tax_fed" : 1, - "cbi_uti_amount" : 0, - "ibi_uti_percent" : 0, - "cbi_uti_deprbas_sta" : 0, - "depr_bonus_sta_macrs_15" : 0, - "cbi_uti_tax_sta" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ibi_fed_amount_tax_sta" : 1, - "ibi_fed_percent" : 0, - "ibi_fed_percent_tax_sta" : 1, - "ibi_sta_amount_tax_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ibi_sta_percent_tax_sta" : 1, - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_uti_amount" : 0, - "ur_nm_credit_month" : 0, - "ibi_uti_percent_tax_sta" : 1, - "depr_itc_sta_sl_5" : 0, - "pbi_fed_term" : 0, - "pbi_oth_tax_fed" : 1, - "pbi_oth_term" : 0, - "depr_itc_fed_sl_15" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_sta_escal" : 0, - "pbi_sta_tax_sta" : 1, - "pbi_uti_amount" : [ 0 ], - "pbi_uti_for_ds" : 0, - "pbi_uti_term" : 0, - "depr_alloc_custom_percent" : 0, - "depr_bonus_sta_sl_20" : 0, - "depr_itc_sta_sl_39" : 0, - "depr_alloc_sl_39_percent" : 0, - "depr_bonus_fed_macrs_5" : 1, - "depr_bonus_sta" : 0, - "depr_bonus_sta_sl_15" : 0, - "depr_itc_fed_sl_5" : 0, - "depr_itc_fed_macrs_15" : 0, - "depr_bonus_sta_sl_5" : 0, - "depr_itc_fed_macrs_5" : 0, - "depr_itc_fed_custom" : 0, - "depr_itc_fed_sl_20" : 0, - "depr_stabas_method" : 1, - "ur_ts_sell_rate" : [ 0 ], - "ur_en_ts_sell_rate" : 0, - "ur_metering_option" : 4, - "ur_en_ts_buy_rate" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ur_annual_min_charge" : 0, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_yearzero_usage_peaks" : [ 0 ], - "ur_billing_demand_minimum" : 0, - "ur_dc_enable" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "number table entries" : 242 - }, - "number table entries" : 5 - }, - "compute_module_0" : "hybrid", - "number_compute_modules" : 1, - "number_metrics" : 0 -} diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 945cf42e3..3ee244279 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -206,64 +206,16 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { auto revenue = ssc_data_get_array(hybrid_outputs, "cf_total_revenue", &len); auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); - EXPECT_NEAR(genericannualenergy, 756864000., 1); - EXPECT_NEAR(pvannualenergy, 211907359., 1); - EXPECT_NEAR(windannualenergy, 818888286., 1); - EXPECT_NEAR(battannualenergy, 1780823936., 1); - - EXPECT_NEAR(om_expenses[1], 155867774., 1); - EXPECT_NEAR(revenue[1], 86930659., 1); - EXPECT_NEAR(ebitda[1], -68937115., 1); - EXPECT_NEAR(npv, -3230348080., 1); - } - ssc_data_free(dat); - dat = nullptr; -} - - -// Code generator testing - -TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { - - char file_path[256]; - int nfc1 = sprintf(file_path, "%s/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json", SSCDIR); - std::ifstream file(file_path); - std::ostringstream tmp; - tmp << file.rdbuf(); - file.close(); - ssc_data_t dat = json_to_ssc_data(tmp.str().c_str()); - tmp.str(""); - - auto table = ssc_data_get_table(dat, "input"); - auto pv_table = ssc_data_get_table(table, "pvwattsv8"); - char solar_resource_path[256]; - sprintf(solar_resource_path, "%s/test/input_cases/general_data/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", std::getenv("SSCDIR")); - ssc_data_set_string(pv_table, "solar_resource_file", solar_resource_path); - auto wind_table = ssc_data_get_table(table, "windpower"); - char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); - ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); - - int errors = run_module(dat, "hybrid"); - - EXPECT_FALSE(errors); - if (!errors) - { - ssc_number_t pvannualenergy, windannualenergy, npv; - auto outputs = ssc_data_get_table(dat, "output"); - - auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); - ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 211907456, 211907456 * 0.01); - - auto wind_outputs = ssc_data_get_table(outputs, "windpower"); - ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 366975552, 366975552 * 0.01); - - auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); - ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, -307199232, 307199232 * 0.001); + EXPECT_NEAR(genericannualenergy, 756864000., 1e6); + EXPECT_NEAR(pvannualenergy, 211907455., 1e6); + EXPECT_NEAR(windannualenergy, 818888286., 1e6); + EXPECT_NEAR(battannualenergy, 1783633373., 1e6); + + EXPECT_NEAR(om_expenses[1], 90570833., 1e5); + EXPECT_NEAR(revenue[1], 89461086., 1e5); + EXPECT_NEAR(ebitda[1], -1109746., 1e5); + EXPECT_NEAR(npv, -1545988651., 1e6); } ssc_data_free(dat); dat = nullptr; From f654a9f46a4a654d012c6487aeafb067283e4374 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 09:28:32 -0700 Subject: [PATCH 21/24] fix codegenerator for "hybrid" inputs --- ... FuelCell Battery Hybrid_Single Owner.json | 781 ++++++--------- ...ts Wind Battery Hybrid_Host Developer.json | 933 ++++++++---------- ...atts Wind Battery Hybrid_Single Owner.json | 705 ++++++------- 3 files changed, 1002 insertions(+), 1417 deletions(-) diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index 951884bb2..f5e082ef9 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -29,178 +29,172 @@ "number table entries" : 24 }, "battery" : { - "ppa_multiplier_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "batt_vnom" : 3.3999999999999999, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_auto_can_charge" : 1, "om_production_escal" : 0, "batt_calendar_choice" : 1, - "om_fixed_escal" : 0, "batt_dispatch_pvs_soc_rest" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_loss_choice" : 0, + "LeadAcid_q20_computed" : 479616.75, + "om_fixed_escal" : 0, + "batt_cycle_cost_choice" : 0, "batt_current_choice" : 1, - "batt_qexp" : 0.040000050000000002, "batt_dispatch_update_frequency_hours" : 1, "batt_look_ahead_hours" : 18, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_surface_area" : 18000.016627500001, - "batt_cycle_cost_choice" : 0, - "batt_qfull_flow" : 479616.75, + "batt_initial_SOC" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_auto_can_charge" : 1, + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "analysis_period" : 25, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], "batt_calendar_q0" : 1.02, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_minimum_soc" : 15, - "total_installed_cost" : 99152011.591586128, - "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qfull_flow" : 479616.75, + "batt_replacement_capacity" : 0, + "batt_Cp" : 1500, "batt_mass" : 3428574.595714286, "batt_calendar_b" : -7280, - "batt_chem" : 1, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "batt_dispatch_pvs_ki" : 1.8, "dispatch_manual_system_charge_first" : 0, "batt_replacement_schedule_percent" : [ 0 ], - "batt_vexp" : 4.0499999999999998, - "analysis_period" : 25, - "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_computed_bank_capacity" : 240000.22170000002, + "om_batt_nameplate" : 240000.22170000002, "batt_replacement_option" : 1, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_cp" : 1500, - "leadacid_tn" : 1, - "batt_replacement_capacity" : 0, - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287770.04999999999, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_wf_forecast_choice" : 0, + "total_installed_cost" : 99152011.591586128, "batt_power_charge_max_kwac" : 62500.057734375012, "batt_dc_ac_efficiency" : 96, "batt_resistance" : 0.002, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_minimum_modetime" : 10, + "grid_interconnection_limit_kwac" : 20000, + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, "om_replacement_cost_escal" : 0, + "batt_minimum_modetime" : 10, "batt_ac_dc_efficiency" : 96, - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_room_temperature_celsius" : [ 25 ], + "batt_Vcut" : 2.706, + "batt_loss_choice" : 0, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_life_model" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "om_capacity_escal" : 0, - "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "batt_custom_dispatch" : [ 0 ], - "batt_current_charge_max" : 119904.1875, - "batt_dispatch_pvs_kp" : 1.2, - "batt_calendar_c" : 939, + "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_computed_strings" : 213163, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_maximum_soc" : 95, - "batt_dispatch_pvs_max_ramp" : 10, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_calendar_c" : 939, + "batt_surface_area" : 18000.016627500001, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, "batt_voltage_choice" : 0, "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_c_rate" : 0.20000000000000001, - "batt_current_discharge_max" : 119904.1875, - "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_meter_position" : 1, + "batt_Qexp" : 0.040000050000000002, + "batt_dispatch_choice" : 3, + "batt_inverter_efficiency_cutoff" : 90, "batt_dc_dc_efficiency" : 99, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_max_ramp" : 10, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_life_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "ppa_multiplier_model" : 0, "om_batt_replacement_cost" : [ 323 ], - "om_batt_variable_cost" : [ 0 ], - "batt_inverter_efficiency_cutoff" : 90, - "batt_vfull" : 4.0999999999999996, - "batt_computed_series" : 139, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "en_standalone_batt" : 0, - "batt_dispatch_choice" : 3, - "om_batt_nameplate" : 240000.22170000002, - "batt_computed_bank_capacity" : 240000.22170000002, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 60000.055425000006, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_vcut" : 2.706, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_power_discharge_max_kwdc" : 60000.055425000006, + "batt_chem" : 1, "batt_calendar_a" : 0.00266, - "batt_qfull" : 2.25, - "batt_vnom_default" : 3.6000000000000001, - "batt_losses" : [ 0 ], - "batt_ac_or_dc" : 1, - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_initial_soc" : 50, - "batt_meter_position" : 1, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_h_to_ambient" : 100, + "batt_minimum_SOC" : 15, + "batt_C_rate" : 0.20000000000000001, + "batt_Vfull" : 4.0999999999999996, "ppa_escalation" : 1, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_pvs_ac_ub_enable" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_dispatch_pvs_short_forecast_enable" : 0, - "leadacid_q20_computed" : 479616.75, - "leadacid_q10_computed" : 446043.57750000001, + "LeadAcid_q10_computed" : 446043.57750000001, + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0 ], + "batt_Qnom" : 2.0000002500000003, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_maximum_SOC" : 95, + "batt_Vnom" : 3.3999999999999999, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_h_to_ambient" : 100, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_dispatch_pvs_kp" : 1.2, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_computed_series" : 139, + "batt_cycle_cost" : [ 0 ], + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 60000.055425000006, + "en_standalone_batt" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_current_charge_max" : 119904.1875, + "batt_custom_dispatch" : [ 0 ], "number table entries" : 118 }, - "windpower" : { - "ops_env_loss" : 1, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], + "pvwattsv8" : { + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "adjust_en_periods" : 0, - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, + "total_installed_cost" : 116394500, "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032100, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "adjust_timeindex" : [ 0 ], - "wind_resource_shear" : 0.14000000000000001, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "om_capacity" : [ 40 ], - "ops_strategies_loss" : 0, + "dc_ac_ratio" : 1.3, "adjust_en_timeindex" : 0, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "om_land_lease" : [ 0 ], + "tilt" : 0, "om_production_escal" : 0, - "wind_turbine_hub_ht" : 80, - "wind_resource_model_choice" : 0, + "om_fixed_escal" : 0, + "batt_simple_enable" : 0, + "analysis_period" : 25, + "module_type" : 0, + "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "system_capacity" : 100000, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "en_snowloss" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, + "array_type" : 2, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity" : [ 15 ], + "land_area" : 433.51782985136145, + "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, + "shading_en_string_option" : 0, + "degradation" : [ 0.5 ], "om_fixed" : [ 0 ], - "wind_resource_distribution" : [ [ 0 ] ], - "elec_eff_loss" : 1.9099999999999999, - "ops_load_loss" : 0.98999999999999999, - "number table entries" : 50 + "number table entries" : 44 }, "fuelcell" : { "add_om_num_types" : 2, @@ -248,435 +242,302 @@ "fuelcell_lhv" : 983, "number table entries" : 43 }, - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], "om_production" : [ 0 ], - "om_land_lease" : [ 0 ], - "tilt" : 0, - "om_production_escal" : 0, + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, + "env_exposure_loss" : 0, "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, - "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_diff" : 0, - "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], "om_fixed" : [ 0 ], - "number table entries" : 44 + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 }, - "hybrid" : { - "ibi_uti_percent_maxvalue" : 0, - "lib_dispatch_factor1" : 1, - "depr_itc_fed_macrs_15" : 0, - "ur_en_ts_buy_rate" : 0, - "ibi_fed_percent_tax_sta" : 1, - "itc_fed_percent" : [ 30 ], - "ibi_sta_amount_deprbas_sta" : 0, - "cbi_oth_maxvalue" : 0, - "const_per_total1" : 43008751.054279134, - "ur_is_default" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_fed_percent" : 0, - "ur_end_date" : "empty", - "depr_itc_fed_custom" : 0, + "grid" : { "pbi_oth_escal" : 0, - "ur_ec_is_shown" : 1, - "fuelcell_total_installed_cost" : 2100000, + "depr_itc_fed_custom" : 0, + "ibi_sta_amount_deprbas_sta" : 0, "ur_ts_sell_rate" : [ 0 ], - "ur_rate_notes" : "", - "pbi_oth_for_ds" : 0, - "ur_billing_demand_minimum" : 0, - "depr_bonus_fed" : 0, - "depr_bonus_fed_sl_20" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "lib_dispatch_factor7" : 1, - "mera_name1" : "Replacement Reserve 1", - "cbi_uti_deprbas_sta" : 0, - "ur_demand_window" : 0, - "cp_capacity_payment_type" : 0, - "ur_voltage_max" : 0, - "pbi_uti_term" : 0, - "grid_curtailment_price" : [ 0 ], - "const_per_percent4" : 0, - "depr_bonus_fed_sl_5" : 0, - "ur_source" : "empty", - "chk_update_peaks" : 0, "depr_itc_fed_sl_39" : 0, + "depr_bonus_fed_sl_15" : 0, + "depr_alloc_sl_20_percent" : 0, + "ibi_sta_amount_tax_fed" : 1, + "cp_capacity_payment_type" : 0, + "pbi_oth_for_ds" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_amount" : 0, "ibi_fed_percent_deprbas_fed" : 0, - "depr_alloc_none" : 0, + "ur_billing_demand_minimum" : 0, + "ibi_uti_percent_maxvalue" : 0, + "depr_itc_fed_macrs_15" : 0, + "ibi_fed_percent" : 0, "depr_bonus_sta_sl_5" : 0, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_percent_tax_sta" : 1, - "ibi_oth_percent" : 0, - "pbi_fed_amount" : [ 0 ], + "depr_bonus_fed" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_energy_attrs" : "", - "is_btm" : 0, - "cbi_fed_tax_fed" : 1, - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "lib_dispatch_factor4" : 1, - "depr_bonus_fed_sl_15" : 0, + "ibi_sta_percent_tax_fed" : 1, + "pbi_uti_term" : 0, "cbi_oth_deprbas_sta" : 0, - "lib_dispatch_factor5" : 1, + "depr_bonus_sta" : 0, + "cbi_fed_amount" : 0, "depr_itc_sta_sl_15" : 0, "cbi_uti_amount" : 0, - "equip1_reserve_freq" : 15, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "cbi_oth_maxvalue" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "itc_fed_percent" : [ 30 ], + "cbi_sta_amount" : 0, + "depr_alloc_macrs_5_percent" : 100, "pbi_fed_tax_sta" : 1, - "const_per_name1" : "Loan 1", - "const_per_interest_total" : 26624464.938363276, - "ur_desc_is_shown" : 0, - "depr_itc_fed_sl_5" : 0, - "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "const_per_percent1" : 100, - "const_per_total2" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_sta_amount" : 0, - "show_construction_period" : 1, - "cp_capacity_payment_amount" : [ 0 ], - "depr_bonus_sta_sl_15" : 0, - "depr_itc_sta_macrs_5" : 0, - "const_per_interest_rate1" : 6.5, - "ibi_sta_percent_tax_fed" : 1, - "ur_unused_is_shown" : 0, - "cbi_fed_amount" : 0, - "depr_bonus_sta" : 0, - "ibi_fed_amount" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], - "battery_system_capacity" : 57600.053208000005, - "ibi_uti_amount_tax_fed" : 1, - "ibi_uti_percent_tax_sta" : 1, - "ibi_sta_amount_tax_fed" : 1, - "pv_total_installed_cost" : 116394500, - "ur_utility" : "empty", - "ur_annual_min_charge" : 0, + "depr_itc_fed_sl_5" : 0, + "ur_enable_billing_demand" : 0, + "ppa_multiplier_model" : 0, "itc_sta_percent_deprbas_fed" : 0, - "ibi_oth_amount_tax_fed" : 1, + "cost_debt_fee" : 2.75, "depr_bonus_fed_sl_39" : 0, "itc_fed_amount" : [ 0 ], "ur_nm_yearend_sell_rate" : 0, "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_uti_deprbas_fed" : 0, - "itc_fed_percent_deprbas_fed" : 1, - "depr_bonus_fed_macrs_5" : 1, - "equip1_reserve_cost" : 0.10000000000000001, - "depr_itc_sta_sl_5" : 0, "ibi_sta_percent_deprbas_sta" : 0, + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "cbi_uti_deprbas_sta" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], "itc_fed_amount_deprbas_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, - "ui_fuel_cell_capacity" : 200, "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, - "generic_system_capacity" : 100000, - "const_per_interest3" : 0, + "depr_bonus_fed_macrs_5" : 1, + "itc_fed_percent_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "ibi_uti_percent_tax_sta" : 1, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], + "equip1_reserve_freq" : 15, "rate_escalation" : [ 0 ], - "ibi_uti_percent_deprbas_fed" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "fuelcell_system_capacity" : 200, - "pbi_sta_tax_fed" : 1, - "ppa_escalation" : 1, - "wind_total_installed_cost" : 292032100, - "ur_monthly_fixed_charge" : 0, - "months_working_reserve" : 6, - "cp_battery_nameplate" : 0, - "ur_dc_is_shown" : 1, - "const_per_name3" : "Loan 3", - "batt_power_discharge_max_kwac" : 57600.053208000005, - "ibi_fed_percent_maxvalue" : 0, - "ui_pv_cost" : 116394500, - "const_per_interest_rate5" : 0, - "depr_itc_fed_macrs_5" : 0, - "ur_demand_history" : 0, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "depr_bonus_fed_sl_5" : 0, "prop_tax_cost_assessed_percent" : 100, "ptc_fed_term" : 10, - "ac_nameplate" : 76923.100000000006, + "ur_annual_min_charge" : 0, "equip3_reserve_cost" : 0, - "depr_alloc_macrs_5_percent" : 100, "inflation_rate" : 2.5, "debt_option" : 1, - "itc_sta_amount_deprbas_fed" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "dscr_limit_debt_fraction" : 0, + "cp_battery_nameplate" : 0, + "months_working_reserve" : 6, + "ppa_escalation" : 1, + "pbi_sta_tax_fed" : 1, + "ur_monthly_fixed_charge" : 0, "pbi_fed_term" : 0, - "ur_has_unused_items" : 0, - "ibi_fed_amount_tax_fed" : 1, - "mera_name2" : "Replacement Reserve 2", - "revenue_tod_is_shown" : 0, - "cbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_fed" : 0, "cp_system_nameplate" : 377.12309999999997, - "ur_enable_billing_demand" : 0, - "show_reserveaccounts" : 1, - "equip3_reserve_freq" : 0, + "cbi_uti_tax_sta" : 1, "ibi_sta_percent_deprbas_fed" : 0, - "const_per_interest_rate3" : 0, - "const_per_interest4" : 0, - "analysis_period_warning" : "", - "ibi_sta_amount_tax_sta" : 1, - "dispatch_data_filename" : "", - "ibi_oth_percent_maxvalue" : 0, "depr_itc_fed_sl_15" : 0, "ptc_sta_term" : 10, "cost_other_financing" : 0, - "show_debtconstdscr" : 1, - "ur_energy_min" : 0, "cbi_fed_deprbas_fed" : 0, "enable_interconnection_limit" : 0, - "const_per_principal4" : 0, - "const_per_interest2" : 0, - "loan_moratorium" : 0, - "const_per_upfront_rate3" : 0, - "const_per_principal5" : 0, - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "const_per_principal2" : 0, - "ur_billing_demand_is_shown" : 0, - "ui_generic_cost" : 1128750000, - "depr_itc_sta_sl_20" : 0, - "salvage_value" : 0, "property_tax_rate" : 0, + "depr_itc_sta_sl_20" : 0, "pbi_fed_escal" : 0, + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "loan_moratorium" : 0, "cbi_sta_deprbas_fed" : 0, "ur_yearzero_usage_peaks" : [ 0 ], - "lib_dispatch_factor8" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, + "pbi_oth_tax_fed" : 1, + "equip_reserve_depr_sta" : 0, + "ibi_sta_percent_tax_sta" : 1, "ibi_oth_percent_tax_fed" : 1, - "const_per_months5" : 0, - "const_per_interest_rate2" : 0, + "ur_billing_demand_lookback_period" : 0, "pbi_uti_tax_fed" : 1, "pbi_oth_term" : 0, - "const_per_principal3" : 0, "dscr" : 1.3, - "ui_generic_capacity" : 100000, - "ur_start_date" : "empty", - "lib_dispatch_factor3" : 1, - "dscr_reserve_months" : 6, "depr_itc_sta_macrs_15" : 0, - "ui_electricity_rate_option" : 0, + "dscr_reserve_months" : 6, + "ibi_fed_amount_tax_fed" : 1, + "ibi_oth_amount" : 0, "pbi_oth_tax_sta" : 1, "depr_bonus_sta_macrs_5" : 0, - "const_per_upfront_rate5" : 0, - "ibi_sta_percent_tax_sta" : 1, "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "cbi_oth_amount" : 0, - "ppa_multiplier_model" : 0, - "depr_fedbas_method" : 1, "ibi_fed_amount_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_percent2" : 0, - "const_per_months1" : 6, + "depr_fedbas_method" : 1, + "equip3_reserve_freq" : 0, "depr_alloc_macrs_15_percent" : 0, "ibi_uti_percent" : 0, - "ur_ts_buy_rate" : [ 0 ], + "depr_itc_fed_macrs_5" : 0, + "ibi_oth_amount_tax_fed" : 1, "ibi_fed_percent_deprbas_sta" : 0, - "ui_wind_cost" : 292032100, + "ur_ts_buy_rate" : [ 0 ], + "ibi_uti_amount" : 0, + "grid_curtailment_price_esc" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "ibi_fed_percent_tax_fed" : 1, "ptc_sta_amount" : [ 0 ], - "depr_itc_fed_sl_20" : 0, - "const_per_months3" : 0, - "ui_hyb_pv_capacity" : 76923.100000000006, - "ur_cr_sched" : [ [ 0 ] ], - "wind_system_capacity" : 200000, "cbi_oth_deprbas_fed" : 0, "salvage_percentage" : 0, - "const_per_upfront_rate1" : 1, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, "om_fixed" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "ui_system_nameplate_standalone" : 0, - "term_int_rate" : 7, - "depr_stabas_method" : 1, "depr_alloc_custom_percent" : 0, - "itc_sta_percent_deprbas_sta" : 0, + "depr_stabas_method" : 1, + "term_int_rate" : 7, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ur_en_ts_buy_rate" : 0, "cbi_fed_tax_sta" : 1, "payment_option" : 0, - "ur_uri" : "empty", - "insurance_rate" : 0, + "itc_sta_percent_deprbas_sta" : 0, "cbi_sta_tax_fed" : 1, + "insurance_rate" : 0, "federal_tax_rate" : [ 21 ], - "nominal_discount_rate" : 9.0600000000000023, "ibi_uti_percent_tax_fed" : 1, - "reserves_interest" : 1.7250000000000001, - "hybrid_capital_cost" : 0, - "lib_dispatch_factor2" : 1, + "construction_financing_cost" : 43008751.054279134, "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.7250000000000001, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "ibi_sta_percent" : 0, - "ur_fixed_attrs" : "", - "cp_capacity_credit_percent" : [ 0 ], + "pbi_sta_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, "pbi_fed_tax_fed" : 1, + "cp_capacity_credit_percent" : [ 0 ], "depr_bonus_sta_custom" : 0, - "property_assessed_value" : 1638428611.5915861, + "ibi_uti_percent_deprbas_fed" : 0, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "itc_fed_amount_deprbas_sta" : 1, + "batt_salvage_percentage" : 0, "ppa_price_input" : [ 0.050000000000000003 ], "ptc_sta_escal" : 0, - "ur_billing_demand_lookback_period" : 0, - "ur_name" : "", - "const_per_total3" : 0, "system_capacity" : 377123.09999999998, - "const_per_interest5" : 0, - "dscr_limit_debt_fraction" : 0, - "solution_mode_message" : "", - "ibi_fed_percent_tax_fed" : 1, - "ur_demand_reactive_power_charge" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "const_per_name2" : "Loan 2", - "ur_ratedata_filename" : "", - "show_capitalcostso" : 1, - "const_per_total4" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_sta_amount" : 0, - "const_per_name5" : "Loan 5", - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ui_wacc" : 6.7097400000000018, - "depr_itc_sta_custom" : 0, - "cost_debt_fee" : 2.75, - "cbi_fed_deprbas_sta" : 0, - "pbi_sta_for_ds" : 0, - "tod_library" : "Uniform Dispatch", - "const_per_percent_total" : 100, "real_discount_rate" : 6.4000000000000004, - "generic_total_installed_cost" : 1128750000, "ibi_uti_amount_tax_sta" : 1, - "const_per_percent3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "depr_bonus_sta_sl_20" : 0, "ppa_soln_mode" : 1, + "depr_bonus_sta_sl_20" : 0, "itc_fed_percent_deprbas_sta" : 1, "prop_tax_assessed_decline" : 0, "flip_target_percent" : 11, - "ur_schedule_name" : "empty", "en_electricity_rates" : 0, - "const_per_principal1" : 1638428611.5915861, + "pbi_sta_amount" : [ 0 ], "ur_dc_enable" : 0, "dscr_maximum_debt_fraction" : 100, "debt_percent" : 60, - "pbi_sta_amount" : [ 0 ], - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], - "ur_voltage_category" : "", - "const_per_percent5" : 0, - "mera_name3" : "Replacement Reserve 3", + "cbi_fed_tax_fed" : 1, + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, "ibi_uti_amount_deprbas_fed" : 0, "analysis_period" : 25, - "ibi_oth_amount" : 0, - "ibi_uti_amount" : 0, - "grid_curtailment_price_esc" : 0, - "lib_dispatch_factor6" : 1, - "ur_description" : "", - "ur_demand_max" : 0, - "cost_debt_closing" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "ur_energy_max" : 0, - "construction_financing_cost" : 43008751.054279134, - "depr_itc_sta_sl_39" : 0, - "ur_service_type" : "", - "ur_fuel_adjustments_monthly" : [ 0 ], - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "ur_nm_credit_month" : 0, - "ur_voltage_min" : 0, - "ur_monthly_min_charge" : 0, + "pbi_uti_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "ibi_oth_percent_maxvalue" : 0, + "ibi_sta_amount_tax_sta" : 1, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_sl_20_percent" : 0, + "ur_monthly_min_charge" : 0, "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "const_per_principal_total" : 1638428611.5915861, - "total_installed_cost" : 1638428611.5915861, - "equip2_reserve_cost" : 0, - "lib_dispatch_factor9" : 1, - "equip_reserve_depr_sta" : 0, - "pbi_oth_tax_fed" : 1, - "const_per_upfront_rate2" : 0, + "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "const_per_interest_rate4" : 0, "om_production" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, - "cbi_sta_tax_sta" : 1, - "pbi_uti_escal" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "cp_capacity_payment_amount" : [ 0 ], + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, "flip_target_year" : 10, - "const_per_total5" : 0, - "mera_cost3" : 0, - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "pbi_uti_escal" : 0, + "depr_itc_sta_custom" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, "ptc_fed_amount" : [ 0 ], - "ur_energy_history" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "ibi_uti_percent_deprbas_sta" : 0, - "sales_tax_rate" : 5, - "const_per_interest1" : 26624464.938363276, - "mera_cost1" : 37712310, - "ui_battery_cost" : 99152011.591586128, - "const_per_name4" : "Loan 4", - "depr_bonus_sta_macrs_15" : 0, - "ui_fuel_cell_cost" : 2100000, - "pbi_uti_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, - "cbi_uti_maxvalue" : 0, - "revenue_capacity_payments_is_shown" : 0, - "revenue_curtailment_is_shown" : 0, + "depr_itc_sta_sl_39" : 0, + "ibi_fed_percent_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, + "depr_alloc_sl_15_percent" : 0, "cbi_oth_tax_sta" : 1, "itc_sta_amount" : [ 0 ], - "const_per_months4" : 0, - "ur_en_ts_sell_rate" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "const_per_months2" : 0, - "equip2_reserve_freq" : 0, - "equip_reserve_depr_fed" : 0, - "ur_phase_wiring" : "", + "depr_custom_schedule" : [ 0 ], "pbi_sta_term" : 0, - "mera_cost2" : 0, "pbi_fed_for_ds" : 0, "months_receivables_reserve" : 0, - "debt_message" : "", - "ui_battery_capacity" : 57600.053208000005, - "depr_custom_schedule" : [ 0 ], - "pbi_sta_tax_sta" : 1, - "battery_total_installed_cost" : 99152011.591586128, - "depr_alloc_sl_15_percent" : 0, - "batt_salvage_value" : 0, + "ibi_fed_percent_maxvalue" : 0, + "grid_curtailment_price" : [ 0 ], + "cost_debt_closing" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, "om_capacity" : 0, + "total_installed_cost" : 1638428611.5915861, + "equip2_reserve_cost" : 0, + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "depr_bonus_sta_macrs_15" : 0, + "pbi_sta_tax_sta" : 1, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "depr_bonus_sta_sl_39" : 0, - "grid_interconnection_limit_kwac" : 20000, - "number table entries" : 380 + "number table entries" : 241 }, "number table entries" : 7 }, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index 3957cb032..fff9536f0 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,6 +1,6 @@ { "input" : { - "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], + "compute_modules" : ["pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","host_developer"], "pvwattsv8" : { "shading_mxh" : [ [ 0 ] ], "om_production_escal" : 0, @@ -44,452 +44,22 @@ "adjust_periods" : [ [ 0, 0, 0 ] ], "om_fixed" : [ 0 ], "number table entries" : 41 - }, - "hybrid" : { - "om_fixed" : 0, - "cbi_oth_tax_fed" : 1, - "cbi_oth_deprbas_sta" : 0, - "energy_2" : 48557.315400000007, - "depr_alloc_sl_5_percent" : 0, - "ibi_fed_amount_deprbas_fed" : 0, - "crit_7" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "energy_12" : 54338.530100000084, - "ur_rate_notes" : "", - "ac_nameplate" : 469.565, - "mpeak" : [ 234.67599999999999, 173.422, 172.00700000000001, 191.434, 198.29499999999999, 236.46899999999999, 274.23099999999999, 260.33600000000001, 226.751, 185.12299999999999, 156.19999999999999, 184.05000000000001 ], - "crit_9" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "pbi_uti_for_ds" : 0, - "ur_billing_demand_lookback_period" : 0, - "ui_generic_cost" : 0, - "cbi_uti_deprbas_fed" : 0, - "ibi_fed_amount" : 0, - "depr_bonus_fed_macrs_15" : 0, - "pbi_uti_amount" : [ 0 ], - "lib_dispatch_factor1" : 1, - "ibi_uti_percent_maxvalue" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ptc_fed_escal" : 0, - "peak_12" : 184.05000000000001, - "crit_2" : 0, - "ur_schedule_name" : "empty", - "depr_bonus_sta_macrs_15" : 0, - "ui_fuel_cell_cost" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "equip3_reserve_cost" : 0, - "load_user_data" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "prop_tax_cost_assessed_percent" : 100, - "ibi_fed_amount_deprbas_sta" : 0, - "peak_4" : 191.434, - "depr_fedbas_method" : 1, - "ptc_fed_term" : 10, - "ur_has_unused_items" : 0, - "crit_11" : 0, - "escal_input_hourly" : [ 0 ], - "energy_8" : 77555.05109999991, - "peak_6" : 236.46899999999999, - "ibi_uti_amount_deprbas_sta" : 0, - "ur_metering_option" : 0, - "debt_option" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "itc_fed_amount_deprbas_fed" : 1, - "ui_annual_load" : 0, - "cbi_fed_tax_fed" : 1, - "ur_demand_window" : 0, - "ur_billing_demand_minimum" : 0, - "om_capacity" : 0, - "cost_debt_fee" : 2.75, - "ibi_uti_percent_tax_fed" : 1, - "depr_alloc_sl_20_percent" : 0, - "nominal_discount_rate" : 9.0600000000000023, - "ppa_multiplier_model" : 0, - "ur_is_default" : 0, - "ibi_fed_percent_tax_sta" : 1, - "cbi_sta_tax_fed" : 1, - "ur_ts_sell_rate" : [ 0 ], - "crit_6" : 0, - "show_debtconstdscr" : 1, - "cbi_fed_deprbas_fed" : 0, - "ur_energy_min" : 0, - "enable_interconnection_limit" : 0, - "battery_total_installed_cost" : 391808.35863000003, - "crit_5" : 0, - "ibi_fed_percent_maxvalue" : 0, - "ibi_sta_amount" : 0, - "batt_power_discharge_max_kwac" : 288.05025600000005, - "const_per_name3" : "Loan 3", - "ur_voltage_max" : 0, - "cbi_fed_tax_sta" : 1, - "const_per_total3" : 0, - "payment_option" : 0, - "itc_sta_percent_deprbas_sta" : 0, - "peak_7" : 274.23099999999999, - "ur_source" : "empty", - "pbi_fed_amount" : [ 0 ], - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "peak_9" : 226.751, - "is_btm" : 0, - "ur_monthly_fixed_charge" : 0, - "pbi_sta_tax_fed" : 1, - "ppa_escalation" : 1, - "crit_3" : 0, - "wind_total_installed_cost" : 464400, - "energy_7" : 77708.464099999896, - "crit_load_type" : 0, - "ur_en_ts_buy_rate" : 0, - "ur_demand_attrs" : "", - "peak_10" : 185.12299999999999, - "prop_tax_assessed_decline" : 0, - "energy_5" : 60460.74550000007, - "depr_itc_sta_sl_39" : 0, - "ibi_oth_percent_maxvalue" : 0, - "ur_service_type" : "", - "const_per_interest4" : 0, - "ur_name" : "", - "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_salvage_value" : 0, - "depr_alloc_none" : 0, - "system_capacity" : 569.56500000000005, - "load_escalation" : [ 0 ], - "depr_itc_fed_sl_39" : 0, - "const_per_interest3" : 0, - "lib_dispatch_factor8" : 1, - "depr_itc_fed_custom" : 0, - "ibi_sta_percent_maxvalue" : 0, - "depr_bonus_sta_sl_20" : 0, - "cbi_uti_tax_fed" : 1, - "ppa_soln_mode" : 1, - "ibi_oth_percent_tax_fed" : 1, - "ur_start_date" : "empty", - "om_production" : 0, - "ui_generic_capacity" : 0, - "const_per_upfront_rate2" : 0, - "chk_update_peaks" : 0, - "const_per_months5" : 0, - "pbi_oth_tax_fed" : 1, - "equip_reserve_depr_sta" : 0, - "depr_itc_fed_macrs_15" : 0, - "ibi_sta_percent_tax_fed" : 1, - "energy_3" : 55750.081999999988, - "const_per_interest_rate1" : 6.5, - "const_per_name1" : "Loan 1", - "depr_itc_sta_sl_20" : 0, - "salvage_value" : 0, - "property_tax_rate" : 0, - "crit_10" : 0, - "pbi_fed_escal" : 0, - "depr_bonus_fed_sl_39" : 0, - "peak_1" : 234.67599999999999, - "ptc_fed_amount" : [ 0 ], - "const_per_months1" : 6, - "depr_alloc_macrs_15_percent" : 0, - "mera_cost1" : 56956.500000000007, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_percent1" : 100, - "ibi_fed_amount_tax_sta" : 1, - "const_per_total2" : 0, - "const_per_percent_total" : 100, - "equip_reserve_depr_fed" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "depr_bonus_fed_sl_5" : 0, - "ui_hyb_pv_capacity" : 469.565, - "wind_system_capacity" : 100, - "ur_desc_is_shown" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "const_per_interest_rate4" : 0, - "const_per_upfront_rate1" : 1, - "ur_unused_is_shown" : 0, - "annual_peak" : 274.23099999999999, - "const_per_name5" : "Loan 5", - "cbi_sta_amount" : 0, - "crit_load_user_data" : [ 0 ], - "show_capitalcostso" : 1, - "depr_bonus_sta_sl_5" : 0, - "ibi_sta_amount_deprbas_fed" : 0, - "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], - "ur_billing_demand_is_shown" : 0, - "depr_itc_fed_sl_5" : 0, - "ibi_uti_amount_tax_fed" : 1, - "depr_itc_sta_sl_15" : 0, - "cbi_uti_amount" : 0, - "normalize_to_utility_bill" : 0, - "rate_escalation" : [ 0 ], - "inflation_rate" : 2.5, - "depr_bonus_fed_sl_20" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed" : 0, - "ibi_uti_percent_tax_sta" : 1, - "pbi_oth_for_ds" : 0, - "grid_interconnection_limit_kwac" : 20000, - "ibi_oth_percent_deprbas_fed" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ibi_fed_percent" : 0, - "ui_wind_cost" : 464400, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_energy_max" : 0, - "pv_total_installed_cost" : 948196.80000000005, - "load_model" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], - "ur_dc_is_shown" : 1, - "cbi_oth_amount" : 0, - "cbi_oth_tax_sta" : 1, - "ibi_oth_percent_tax_sta" : 1, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], - "ur_description" : "", - "cbi_sta_deprbas_sta" : 0, - "ur_demand_max" : 0, - "cost_debt_closing" : 0, - "ur_energy_history" : 0, - "itc_fed_percent" : [ 30 ], - "peak_2" : 173.422, - "cbi_oth_maxvalue" : 0, - "crit_4" : 0, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "crit_8" : 0, - "ur_phase_wiring" : "", - "pbi_sta_term" : 0, - "batt_minimum_outage_soc" : 10, - "ur_demand_min" : 0, - "cbi_sta_maxvalue" : 0, - "ur_end_date" : "empty", - "pbi_sta_escal" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "pbi_oth_escal" : 0, - "const_per_principal_total" : 1804405.15863, - "dscr_reserve_months" : 0, - "total_installed_cost" : 1804405.15863, - "lib_dispatch_factor3" : 1, - "ibi_oth_amount_tax_fed" : 1, - "depr_itc_sta_macrs_15" : 0, - "depr_bonus_fed_sl_15" : 0, - "const_per_principal2" : 0, - "cbi_uti_tax_sta" : 1, - "depr_alloc_sl_15_percent" : 0, - "host_nominal_discount_rate" : 9.0600000000000023, - "ibi_sta_percent_deprbas_sta" : 0, - "cbi_fed_maxvalue" : 0, - "const_per_upfront_rate3" : 0, - "crit_load_escalation" : [ 0 ], - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "depr_itc_sta_sl_5" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "load_annual_total" : 726208.38439997181, - "show_construction_period" : 1, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "itc_fed_amount" : [ 0 ], - "escal_belpe" : [ 0 ], - "ur_energy_attrs" : "", - "cbi_uti_deprbas_sta" : 0, - "loan_moratorium" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "peak_11" : 156.19999999999999, - "const_per_principal5" : 0, - "pbi_uti_term" : 0, - "ptc_sta_escal" : 0, - "property_assessed_value" : 1804405.15863, - "ppa_price_input" : [ 0.153 ], - "pbi_uti_escal" : 0, - "sales_tax_rate" : 5, - "ptc_sta_amount" : [ 0 ], - "annual_crit" : 0, - "const_per_total5" : 0, - "flip_target_year" : 20, - "itc_sta_amount_deprbas_sta" : 0, - "pbi_oth_term" : 0, - "const_per_interest_rate2" : 0, - "pbi_uti_tax_fed" : 1, - "energy_9" : 61793.676699999931, - "const_per_total1" : 47365.635414037504, - "energy_10" : 57692.479699999887, - "pbi_fed_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "ur_utility" : "empty", - "crit_load_pct" : 0, - "ur_annual_min_charge" : 0, - "construction_financing_cost" : 47365.635414037504, - "itc_sta_percent_deprbas_fed" : 0, - "depr_stabas_method" : 1, - "const_per_upfront_rate4" : 0, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "ibi_sta_amount_deprbas_sta" : 0, - "ui_fuel_cell_capacity" : 0, - "grid_outage" : [ 0 ], - "cbi_sta_tax_sta" : 1, - "ur_nm_yearend_sell_rate" : 0, - "const_per_months3" : 0, - "depr_itc_fed_sl_20" : 0, - "utility_bill_data" : [ 300, 300, 300, 300, 300, 400, 500, 500, 400, 300, 300, 300 ], - "ur_ec_is_shown" : 1, - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "ur_enable_billing_demand" : 0, - "const_per_interest_rate5" : 0, - "depr_itc_fed_macrs_5" : 0, - "ur_demand_history" : 0, - "ui_pv_cost" : 948196.80000000005, - "term_int_rate" : 7, - "show_reserveaccounts" : 1, - "pbi_fed_tax_sta" : 1, - "lib_dispatch_factor4" : 1, - "peak_8" : 260.33600000000001, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "lib_dispatch_factor6" : 1, - "const_per_principal4" : 0, - "ibi_uti_amount" : 0, - "const_per_interest2" : 0, - "mera_name1" : "Replacement Reserve 1", - "const_per_months2" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_sta" : 0, - "energy_1" : 57339.488999999958, - "const_per_months4" : 0, - "cbi_fed_amount" : 0, - "itc_sta_amount" : [ 0 ], - "lib_dispatch_factor5" : 1, - "ibi_sta_amount_tax_sta" : 1, - "lib_dispatch_factor7" : 1, - "analysis_period_warning" : "", - "energy_4" : 53014.929699999993, - "ibi_oth_amount" : 0, - "crit_1" : 0, - "energy_6" : 70152.33849999994, - "depr_alloc_macrs_5_percent" : 100, - "const_per_percent3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "lib_dispatch_factor9" : 1, - "equip2_reserve_cost" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "pbi_fed_term" : 0, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.75, - "ur_voltage_category" : "", - "lib_dispatch_factor2" : 1, - "hybrid_capital_cost" : 0, - "pbi_fed_for_ds" : 0, - "depr_alloc_sl_39_percent" : 0, - "host_real_discount_rate" : 6.4000000000000004, - "const_per_interest5" : 0, - "dscr_limit_debt_fraction" : 0, - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "mera_cost3" : 0, - "depr_itc_fed_sl_15" : 0, - "cost_other_financing" : 0, - "ptc_sta_term" : 10, - "mera_name3" : "Replacement Reserve 3", - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "ur_fuel_adjustments_monthly" : [ 0 ], - "tod_library" : "Uniform Dispatch", - "analysis_period" : 25, - "ibi_oth_amount_deprbas_sta" : 0, - "real_discount_rate" : 6.4000000000000004, - "itc_sta_percent" : [ 0 ], - "ibi_uti_amount_tax_sta" : 1, - "ur_ratedata_filename" : "", - "ibi_uti_amount_deprbas_fed" : 0, - "const_per_percent5" : 0, - "equip3_reserve_freq" : 0, - "mera_cost2" : 0, - "months_receivables_reserve" : 0, - "const_per_principal1" : 1804405.15863, - "pbi_sta_amount" : [ 0 ], - "ur_dc_enable" : 0, - "dscr_maximum_debt_fraction" : 100, - "debt_percent" : 60, - "state_tax_rate" : [ 7 ], - "depr_bonus_fed_custom" : 0, - "ibi_oth_amount_tax_sta" : 1, - "const_per_total4" : 0, - "pbi_uti_tax_sta" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "const_per_interest_rate3" : 0, - "const_per_percent2" : 0, - "ur_demand_reactive_power_charge" : 0, - "ibi_fed_percent_tax_fed" : 1, - "solution_mode_message" : "", - "const_per_name2" : "Loan 2", - "flip_target_percent" : 11, - "itc_fed_percent_deprbas_sta" : 1, - "crit_12" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "dispatch_data_filename" : "", - "scale_factor" : 1, - "ibi_sta_percent_tax_sta" : 1, - "const_per_upfront_rate5" : 0, - "cbi_fed_deprbas_sta" : 0, - "depr_bonus_sta_sl_39" : 0, - "pbi_oth_amount" : [ 0 ], - "cbi_oth_deprbas_fed" : 0, - "const_per_interest_total" : 29321.583827737501, - "salvage_percentage" : 0, - "term_tenor" : 18, - "ur_uri" : "empty", - "insurance_rate" : 0, - "federal_tax_rate" : [ 21 ], - "months_working_reserve" : 6, - "mera_name2" : "Replacement Reserve 2", - "peak_5" : 198.29499999999999, - "const_per_principal3" : 0, - "dscr" : 1.3, - "equip1_reserve_freq" : 15, - "itc_fed_percent_deprbas_fed" : 1, - "depr_itc_sta_custom" : 0, - "depr_bonus_fed_macrs_5" : 1, - "debt_message" : "", - "ibi_fed_amount_tax_fed" : 1, - "ibi_uti_percent" : 0, - "energy_11" : 51845.282599999977, - "ur_fixed_attrs" : "", - "ibi_sta_percent" : 0, - "pbi_sta_for_ds" : 0, - "escal_other" : [ 0 ], - "const_per_interest1" : 29321.583827737501, - "ibi_oth_percent" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ui_wacc" : 6.7097400000000018, - "ibi_uti_percent_deprbas_sta" : 0, - "run_resiliency_calcs" : 0, - "cbi_uti_maxvalue" : 0, - "ui_battery_capacity" : 288.05025600000005, - "depr_custom_schedule" : [ 0 ], - "ui_battery_cost" : 391808.35863000003, - "const_per_name4" : "Loan 4", - "ibi_sta_amount_tax_fed" : 1, - "batt_replacement_option" : 1, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "ur_en_ts_sell_rate" : 0, - "pbi_sta_tax_sta" : 1, - "ur_nm_credit_month" : 11, - "ur_voltage_min" : 0, - "depr_alloc_custom_percent" : 0, - "const_per_percent4" : 0, - "peak_3" : 172.00700000000001, - "number table entries" : 424 }, "windpower" : { "ops_env_loss" : 1, - "wind_farm_ycoordinates" : [ 0 ], "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, "wind_turbine_rotor_diameter" : 21, "weibull_k_factor" : 2, "env_icing_loss" : 0.20999999999999999, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], "adjust_constant" : 0, "wind_farm_wake_model" : 0, "avail_bop_loss" : 0.5, "turb_generic_loss" : 1.7, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_farm_xcoordinates" : [ 0 ], - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "adjust_en_periods" : 0, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], "om_production" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, "env_env_loss" : 0.40000000000000002, @@ -500,149 +70,438 @@ "avail_turb_loss" : 3.5800000000000001, "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0 ], + "wind_farm_xCoordinates" : [ 0 ], "om_capacity_escal" : 0, "wake_int_loss" : 0, "total_installed_cost" : 464400, - "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "adjust_timeindex" : [ 0 ], - "wind_resource_shear" : 0.14000000000000001, - "system_capacity" : 100, - "env_degrad_loss" : 1.8, - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "om_capacity" : [ 35 ], - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, "env_exposure_loss" : 0, "om_fixed_escal" : 0, "weibull_reference_height" : 50, "om_production_escal" : 0, - "wind_turbine_hub_ht" : 80, + "system_capacity" : 100, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], "wind_resource_model_choice" : 0, - "om_fixed" : [ 0 ], - "wind_resource_distribution" : [ [ 0 ] ], "elec_eff_loss" : 1.9099999999999999, - "ops_load_loss" : 0.98999999999999999, - "number table entries" : 50 - }, - "battery" : { - "load_escalation" : [ 0 ], - "dispatch_manual_system_charge_first" : 1, - "batt_calendar_q0" : 1.02, - "batt_vnom" : 3.3999999999999999, - "batt_target_power_monthly" : [ 0 ], - "batt_qfull_flow" : 2398.5, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 35 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 + }, + "fuelcell" : { + "add_om_num_types" : 2, + "fuelcell_availability_schedule" : [ [ 0, 0 ] ], + "om_fuelcell_variable_cost" : [ 0 ], + "om_fuel_cost" : [ 10.369999999999999 ], + "fuelcell_replacement_option" : 0, + "dispatch_manual_units_fc_discharge" : [ 0 ], + "fuelcell_operation_options" : 1, + "fuelcell_unit_min_power" : 60, + "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "om_fuelcell_replacement_cost" : [ 0 ], + "fuelcell_number_of_units" : 1, + "om_fuel_cost_escal" : 0, + "fuelcell_degradation_restart" : 1, + "om_fuelcell_fixed_cost" : [ 0 ], + "fuelcell_type" : 2, + "fuelcell_replacement_percent" : 50, + "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], + "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_dispatch_choice" : 0, + "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "fuelcell_degradation" : 0.0045662100456621002, + "fuelcell_dynamic_response_down" : 500, + "total_installed_cost" : 2100000, + "om_capacity_escal" : 0, + "fuelcell_dynamic_response_up" : 500, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], + "om_replacement_cost_escal" : 0, + "fuelcell_fuel_type" : 0, + "om_fuelcell_capacity_cost" : [ 27 ], + "fuelcell_is_started" : 0, + "fuelcell_shutdown_time" : 24, + "fuelcell_startup_time" : 24, + "fuelcell_replacement_schedule" : [ 0 ], + "dispatch_manual_percent_fc_discharge" : [ 0 ], + "fuelcell_efficiency_choice" : 0, + "fuelcell_unit_max_power" : 200, + "analysis_period" : 25, + "fuelcell_fixed_pct" : 95, + "fuelcell_fuel_available" : 10000000000, + "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], + "fuelcell_lhv" : 983, + "number table entries" : 43 + }, + "battery" : { + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "batt_Qfull_flow" : 2398.5, "om_batt_fixed_cost" : [ 0 ], "inflation_rate" : 2.5, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, "batt_dispatch_auto_can_charge" : 1, "batt_load_ac_forecast_escalation" : [ 0 ], - "batt_initial_soc" : 50, - "leadacid_q20_computed" : 2398.5, - "leadacid_q10_computed" : 2230.605, + "om_fixed_escal" : 0, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "total_installed_cost" : 890575.53609214595, + "batt_dispatch_wf_forecast_choice" : 0, + "ppa_price_input" : [ 0.153 ], + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "analysis_period" : 25, + "dispatch_manual_system_charge_first" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_custom_dispatch" : [ 0 ], "batt_target_choice" : 0, - "batt_current_charge_max" : 599.625, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "batt_minimum_soc" : 30, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_vexp" : 4.0499999999999998, - "analysis_period" : 25, + "batt_current_charge_max" : 575.6400000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_Vnom_default" : 3.6000000000000001, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_power_discharge_max_kwdc" : 312.55453125000008, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, "batt_replacement_option" : 1, - "batt_dispatch_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "grid_interconnection_limit_kwac" : 20000, + "batt_C_rate" : 0.20000000000000001, + "batt_minimum_SOC" : 15, "batt_calendar_a" : 0.00266, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, "en_batt" : 1, "batt_load_ac_forecast" : [ 0 ], "batt_replacement_schedule_percent" : [ 0 ], - "om_batt_variable_cost" : [ 0 ], - "batt_target_power" : [ 0 ], - "om_batt_replacement_cost" : [ 225.06 ], - "batt_minimum_modetime" : 10, - "batt_ac_dc_efficiency" : 96, - "om_replacement_cost_escal" : 0, - "batt_chem" : 1, - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "ppa_multiplier_model" : 0, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_vnom_default" : 3.6000000000000001, - "batt_qfull" : 2.25, + "batt_dispatch_choice" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "LeadAcid_qn_computed" : 1439.0999999999999, + "batt_surface_area" : 90.015705000000011, + "batt_calendar_q0" : 1.02, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "batt_replacement_capacity" : 50, + "batt_Cp" : 1500, "batt_computed_bank_capacity" : 1200.2094000000002, "om_batt_nameplate" : 1200.2094000000002, - "batt_loss_choice" : 0, - "batt_qexp" : 0.040000050000000002, - "batt_current_choice" : 1, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 288.05025600000005, "batt_calendar_b" : -7280, - "batt_pv_ac_forecast" : [ 0 ], - "batt_cp" : 1500, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_current_discharge_max" : 599.625, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 300.05235000000005, + "batt_Qnom" : 2.0000002500000003, + "batt_current_choice" : 1, + "batt_loss_choice" : 0, + "batt_Vcut" : 2.706, + "om_replacement_cost_escal" : 0, + "batt_ac_dc_efficiency" : 96, + "batt_minimum_modetime" : 10, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, + "batt_target_power" : [ 0 ], + "om_batt_replacement_cost" : [ 0 ], + "batt_power_charge_max_kwac" : 300.05235000000005, + "ppa_escalation" : 1, + "batt_Vfull" : 4.0999999999999996, + "LeadAcid_q10_computed" : 2230.605, + "batt_current_discharge_max" : 624.60937500000011, "batt_dc_dc_efficiency" : 99, - "batt_life_model" : 0, "om_capacity_escal" : 0, + "batt_life_model" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 391808.35863000003, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_pv_clipping_forecast" : [ 0 ], - "om_fixed_escal" : 0, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "ppa_multiplier_model" : 0, + "batt_chem" : 1, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_inverter_efficiency_cutoff" : 90, - "leadacid_tn" : 1, - "batt_replacement_capacity" : 0, - "batt_vfull" : 4.0999999999999996, - "batt_computed_series" : 139, - "ppa_escalation" : 1, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 288.05025600000005, - "batt_power_charge_max_kwac" : 312.55453125000008, - "batt_maximum_soc" : 95, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_resistance" : 0.002, - "batt_dc_ac_efficiency" : 96, - "leadacid_qn_computed" : 1439.0999999999999, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "batt_power_discharge_max_kwdc" : 300.05235000000005, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "batt_vcut" : 2.706, - "batt_surface_area" : 90.015705000000011, + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "LeadAcid_q20_computed" : 2398.5, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_h_to_ambient" : 7.5, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], "batt_voltage_choice" : 0, - "batt_c_rate" : 0.20000000000000001, + "batt_target_power_monthly" : [ 0 ], "batt_cycle_cost_choice" : 0, "batt_computed_strings" : 1066, "batt_calendar_c" : 939, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 7.5, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], - "ppa_price_input" : [ 0.153 ], + "batt_Qexp" : 0.040000050000000002, + "batt_meter_position" : 0, "en_wave_batt" : 0, "batt_dispatch_discharge_only_load_exceeds_system" : 1, "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], "batt_cycle_cost" : [ 0 ], "batt_dispatch_load_forecast_choice" : 0, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_mass" : 17145.848571428574, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 300.05235000000005, - "batt_meter_position" : 0, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "load_escalation" : [ 0 ], + "batt_mass" : 17145.848571428574, + "batt_maximum_SOC" : 95, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "batt_computed_series" : 139, + "batt_resistance" : 0.002, + "batt_dc_ac_efficiency" : 96, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "batt_Vnom" : 3.3999999999999999, "en_standalone_batt" : 0, "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "number table entries" : 114 + "number table entries" : 113 + }, + "grid" : { + "cbi_oth_deprbas_sta" : 0, + "ppa_multiplier_model" : 0, + "ur_enable_billing_demand" : 0, + "depr_itc_fed_custom" : 0, + "pbi_oth_escal" : 0, + "depr_alloc_sl_20_percent" : 0, + "depr_bonus_fed_sl_15" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "om_capacity" : 0, + "cbi_sta_tax_fed" : 1, + "ur_billing_demand_minimum" : 0, + "depr_alloc_macrs_15_percent" : 0, + "cost_debt_fee" : 2.75, + "itc_sta_percent_deprbas_fed" : 0, + "system_capacity" : 769.56500000000005, + "ibi_sta_percent_tax_fed" : 1, + "cbi_fed_amount" : 0, + "depr_bonus_sta" : 0, + "ibi_oth_amount_deprbas_fed" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "cbi_fed_tax_fed" : 1, + "depr_bonus_sta_macrs_15" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_itc_fed_macrs_5" : 0, + "ibi_uti_percent_tax_fed" : 1, + "equip3_reserve_freq" : 0, + "equip3_reserve_cost" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ur_billing_demand_lookback_period" : 0, + "ibi_oth_percent_tax_fed" : 1, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_percent_maxvalue" : 0, + "depr_itc_sta_sl_39" : 0, + "ur_ts_buy_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ibi_fed_percent_deprbas_sta" : 0, + "total_installed_cost" : 5293747.8721842924, + "equip2_reserve_cost" : 0, + "loan_moratorium" : 0, + "debt_option" : 1, + "inflation_rate" : 2.5, + "ppa_soln_mode" : 0, + "depr_bonus_sta_sl_20" : 0, + "prop_tax_assessed_decline" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "flip_target_percent" : 11, + "cbi_uti_amount" : 0, + "depr_itc_sta_sl_15" : 0, + "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ibi_sta_amount" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "load_escalation" : [ 0 ], + "depr_itc_fed_sl_39" : 0, + "cbi_uti_tax_fed" : 1, + "om_production" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_fed_sl_39" : 0, + "ptc_fed_amount" : [ 0 ], + "dispatch_factors_ts" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ptc_fed_escal" : 0, + "ur_metering_option" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "depr_bonus_fed_sl_5" : 0, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "cbi_oth_deprbas_fed" : 0, + "salvage_percentage" : 0, + "ptc_sta_amount" : [ 0 ], + "depr_bonus_sta_sl_5" : 0, + "ibi_fed_percent_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_fed_maxvalue" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "depr_bonus_fed" : 0, + "grid_interconnection_limit_kwac" : 20000, + "pbi_oth_for_ds" : 0, + "enable_interconnection_limit" : 0, + "cbi_fed_deprbas_fed" : 0, + "rate_escalation" : [ 0 ], + "itc_sta_amount" : [ 0 ], + "cbi_oth_tax_sta" : 1, + "ibi_fed_percent_maxvalue" : 0, + "pbi_sta_term" : 0, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "ur_dc_sched_weekday" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], + "ur_ts_sell_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ibi_uti_amount" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "ibi_uti_percent_tax_sta" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], + "cbi_sta_deprbas_sta" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "cbi_uti_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "pbi_oth_tax_sta" : 1, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "depr_alloc_sl_15_percent" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, + "itc_fed_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "cbi_uti_deprbas_sta" : 0, + "pbi_uti_term" : 0, + "ptc_sta_escal" : 0, + "ppa_price_input" : [ 0.153 ], + "ibi_uti_amount_tax_fed" : 1, + "cost_debt_closing" : 0, + "pbi_uti_escal" : 0, + "flip_target_year" : 20, + "pbi_oth_term" : 0, + "pbi_uti_tax_fed" : 1, + "dscr" : 1.3, + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "ur_annual_min_charge" : 0, + "ptc_fed_term" : 0, + "prop_tax_cost_assessed_percent" : 100, + "pbi_fed_escal" : 0, + "depr_itc_sta_sl_20" : 0, + "property_tax_rate" : 1, + "depr_bonus_fed_macrs_5" : 1, + "itc_fed_percent_deprbas_fed" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 100, 20 ], [ 1, 2, 9.9999999999999998e+37, 15 ], [ 2, 1, 100, 10 ], [ 2, 2, 9.9999999999999998e+37, 5 ] ], + "itc_sta_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "payment_option" : 0, + "pbi_fed_tax_sta" : 1, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], + "depr_alloc_macrs_5_percent" : 100, + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_bonus_fed_macrs_15" : 0, + "pbi_uti_amount" : [ 0 ], + "ibi_oth_percent_maxvalue" : 0, + "ibi_sta_amount_tax_sta" : 1, + "batt_replacement_option" : 1, + "ibi_sta_amount_deprbas_sta" : 0, + "cbi_sta_amount" : 0, + "itc_fed_percent" : [ 30 ], + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "pbi_fed_term" : 0, + "itc_sta_amount_deprbas_fed" : 0, + "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.25, + "construction_financing_cost" : 138960.88164483767, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "pbi_fed_amount" : [ 0 ], + "depr_alloc_sl_39_percent" : 0, + "cbi_oth_tax_fed" : 1, + "om_fixed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "ibi_fed_amount_deprbas_sta" : 0, + "depr_fedbas_method" : 1, + "host_real_discount_rate" : 6.4000000000000004, + "dscr_limit_debt_fraction" : 0, + "equip_reserve_depr_sta" : 0, + "pbi_oth_tax_fed" : 1, + "ibi_sta_percent_tax_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "dscr_reserve_months" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "ibi_uti_percent" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "cbi_sta_tax_sta" : 1, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "real_discount_rate" : 6.4000000000000004, + "ibi_uti_amount_tax_sta" : 1, + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period" : 25, + "pbi_sta_escal" : 0, + "itc_sta_percent" : [ 0 ], + "ur_monthly_fixed_charge" : 0, + "ppa_escalation" : 1, + "pbi_sta_tax_fed" : 1, + "debt_percent" : 60, + "ur_dc_enable" : 1, + "dscr_maximum_debt_fraction" : 100, + "months_working_reserve" : 6, + "cbi_fed_deprbas_sta" : 0, + "pbi_sta_for_ds" : 0, + "ibi_fed_amount_tax_fed" : 1, + "depr_itc_fed_sl_5" : 0, + "cbi_sta_maxvalue" : 0, + "pbi_fed_for_ds" : 0, + "months_receivables_reserve" : 0, + "pbi_sta_amount" : [ 0 ], + "pbi_sta_tax_sta" : 1, + "term_tenor" : 18, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "pbi_uti_for_ds" : 0, + "depr_alloc_custom_percent" : 0, + "depr_stabas_method" : 1, + "term_int_rate" : 7, + "depr_itc_fed_sl_15" : 0, + "ptc_sta_term" : 10, + "cost_other_financing" : 0, + "insurance_rate" : 1, + "federal_tax_rate" : [ 21 ], + "ibi_sta_percent" : 0, + "ibi_oth_percent" : 0, + "ibi_oth_amount" : 0, + "depr_bonus_sta_sl_39" : 0, + "pbi_oth_amount" : [ 0 ], + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "state_tax_rate" : [ 7 ], + "depr_bonus_fed_custom" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_oth_amount" : 0, + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "cbi_oth_maxvalue" : 0, + "ibi_sta_percent_deprbas_fed" : 0, + "equip1_reserve_freq" : 15, + "ur_nm_credit_month" : 11, + "depr_itc_sta_custom" : 0, + "ibi_sta_amount_tax_fed" : 1, + "cbi_uti_maxvalue" : 0, + "depr_custom_schedule" : [ 0 ], + "grid_outage" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "depr_itc_fed_sl_20" : 0, + "ur_en_ts_buy_rate" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_fed_percent_tax_fed" : 1, + "number table entries" : 238 }, - "number table entries" : 5 + "number table entries" : 6 }, "compute_module_0" : "hybrid", "number_compute_modules" : 1, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 604d4445d..00a080c93 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -48,558 +48,423 @@ "om_fixed" : [ 0 ], "number table entries" : 44 }, - "hybrid" : { - "ur_rate_notes" : "", + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 + }, + "grid" : { "ur_ts_sell_rate" : [ 0 ], + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_sta" : 0, - "ur_is_default" : 0, + "depr_itc_fed_sl_39" : 0, + "depr_bonus_fed_sl_15" : 0, + "depr_alloc_sl_20_percent" : 0, + "ibi_sta_amount_tax_fed" : 1, "ibi_uti_amount_deprbas_sta" : 0, "ibi_oth_percent_deprbas_fed" : 0, "ibi_sta_amount" : 0, - "show_construction_period" : 1, - "cp_capacity_payment_amount" : [ 0 ], - "ur_end_date" : "empty", + "ibi_sta_percent_maxvalue" : 0, + "cp_capacity_payment_type" : 0, "pbi_oth_for_ds" : 0, - "ur_billing_demand_minimum" : 0, - "depr_bonus_fed" : 0, - "lib_dispatch_factor7" : 1, - "mera_name1" : "Replacement Reserve 1", - "cbi_uti_deprbas_sta" : 0, - "depr_itc_fed_sl_39" : 0, - "chk_update_peaks" : 0, - "ur_voltage_max" : 0, - "ibi_sta_percent_tax_fed" : 1, - "ur_unused_is_shown" : 0, - "const_per_interest_rate1" : 6.5, - "pbi_uti_term" : 0, - "grid_curtailment_price" : [ 0 ], - "const_per_percent4" : 0, - "depr_bonus_fed_sl_5" : 0, - "ur_source" : "empty", + "equip3_reserve_freq" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_deprbas_fed" : 0, - "depr_alloc_none" : 0, - "depr_bonus_sta_sl_5" : 0, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_minimum" : 0, + "ur_annual_min_charge" : 0, "ibi_uti_percent_maxvalue" : 0, - "lib_dispatch_factor1" : 1, "depr_itc_fed_macrs_15" : 0, + "ibi_sta_percent_deprbas_fed" : 0, "ibi_fed_percent" : 0, - "ibi_oth_percent" : 0, - "pbi_fed_amount" : [ 0 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ur_energy_attrs" : "", - "is_btm" : 0, - "cbi_fed_tax_fed" : 1, - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "lib_dispatch_factor4" : 1, - "depr_bonus_fed_sl_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_bonus_fed" : 0, + "ibi_sta_percent_tax_fed" : 1, + "pbi_uti_term" : 0, "cbi_oth_deprbas_sta" : 0, "depr_bonus_sta" : 0, "cbi_fed_amount" : 0, - "lib_dispatch_factor5" : 1, "depr_itc_sta_sl_15" : 0, "cbi_uti_amount" : 0, - "equip1_reserve_freq" : 15, - "pbi_fed_tax_sta" : 1, - "const_per_name1" : "Loan 1", - "const_per_interest_total" : 8455307.6947242673, - "ur_desc_is_shown" : 0, - "cbi_oth_maxvalue" : 0, - "const_per_total1" : 13658573.968400739, - "depr_itc_fed_sl_5" : 0, - "cbi_sta_maxvalue" : 0, - "ur_demand_min" : 0, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "battery_system_capacity" : 57600.053208000005, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, - "ibi_uti_percent_tax_sta" : 1, - "ur_demand_window" : 0, - "cp_capacity_payment_type" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, + "cbi_oth_maxvalue" : 0, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_tax_sta" : 1, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "ur_en_ts_buy_rate" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_total2" : 0, - "const_per_percent1" : 100, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, "itc_fed_percent" : [ 30 ], - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "ibi_sta_amount_tax_fed" : 1, - "ibi_sta_percent_maxvalue" : 0, + "cbi_sta_amount" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "depr_alloc_macrs_5_percent" : 100, + "pbi_fed_tax_sta" : 1, + "cbi_sta_maxvalue" : 0, + "depr_itc_fed_sl_5" : 0, "depr_itc_fed_custom" : 0, - "pbi_oth_escal" : 0, - "ur_ec_is_shown" : 1, - "const_per_interest3" : 0, - "pv_total_installed_cost" : 116394500, - "ur_utility" : "empty", - "ur_annual_min_charge" : 0, "itc_sta_percent_deprbas_fed" : 0, - "ibi_oth_amount_tax_fed" : 1, + "cost_debt_fee" : 2.75, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_sta_macrs_5" : 0, "depr_bonus_fed_sl_39" : 0, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, "itc_fed_amount" : [ 0 ], "ur_nm_yearend_sell_rate" : 0, - "itc_fed_percent_deprbas_fed" : 1, - "depr_bonus_fed_macrs_5" : 1, - "equip1_reserve_cost" : 0.10000000000000001, - "depr_itc_sta_sl_5" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, "ibi_sta_percent_deprbas_sta" : 0, + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "cbi_uti_deprbas_sta" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], "itc_fed_amount_deprbas_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, - "ui_fuel_cell_capacity" : 0, "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, + "depr_bonus_fed_macrs_5" : 1, + "itc_fed_percent_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "ibi_uti_percent_tax_sta" : 1, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "equip1_reserve_freq" : 15, "rate_escalation" : [ 0 ], - "ibi_uti_percent_deprbas_fed" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "pbi_sta_tax_fed" : 1, - "ppa_escalation" : 1, - "wind_total_installed_cost" : 292032000, - "ur_monthly_fixed_charge" : 0, - "months_working_reserve" : 6, - "cp_battery_nameplate" : 0, - "ur_dc_is_shown" : 1, - "const_per_name3" : "Loan 3", - "batt_power_discharge_max_kwac" : 57600.053208000005, - "ibi_fed_percent_maxvalue" : 0, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "ui_pv_cost" : 116394500, - "const_per_interest_rate5" : 0, - "depr_itc_fed_macrs_5" : 0, - "ur_demand_history" : 0, - "ac_nameplate" : 76923.100000000006, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "depr_bonus_fed_sl_5" : 0, "equip3_reserve_cost" : 0, - "depr_alloc_macrs_5_percent" : 100, "inflation_rate" : 2.5, "debt_option" : 1, - "itc_sta_amount_deprbas_fed" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "dscr_limit_debt_fraction" : 0, + "cp_battery_nameplate" : 0, + "months_working_reserve" : 6, + "ppa_escalation" : 1, + "pbi_sta_tax_fed" : 1, + "ur_monthly_fixed_charge" : 0, "pbi_fed_term" : 0, - "ur_has_unused_items" : 0, - "ibi_fed_amount_tax_fed" : 1, - "mera_name2" : "Replacement Reserve 2", - "revenue_tod_is_shown" : 1, - "cbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_fed" : 0, "cp_system_nameplate" : 276.92309999999998, - "ur_enable_billing_demand" : 0, - "show_reserveaccounts" : 1, - "equip3_reserve_freq" : 0, - "ibi_sta_percent_deprbas_fed" : 0, - "const_per_interest_rate3" : 0, - "const_per_interest4" : 0, - "analysis_period_warning" : "", - "ibi_sta_amount_tax_sta" : 1, - "dispatch_data_filename" : "", - "ibi_oth_percent_maxvalue" : 0, + "cbi_uti_tax_sta" : 1, "depr_itc_fed_sl_15" : 0, "ptc_sta_term" : 10, "cost_other_financing" : 0, - "show_debtconstdscr" : 1, - "ur_energy_min" : 0, "cbi_fed_deprbas_fed" : 0, "enable_interconnection_limit" : 0, - "const_per_principal4" : 0, - "const_per_interest2" : 0, + "property_tax_rate" : 0, + "depr_itc_sta_sl_20" : 0, + "pbi_fed_escal" : 0, "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_fed" : 0, - "const_per_principal2" : 0, - "ur_billing_demand_is_shown" : 0, - "const_per_principal5" : 0, "loan_moratorium" : 0, - "const_per_upfront_rate3" : 0, - "ui_generic_cost" : 0, - "depr_itc_sta_sl_20" : 0, - "salvage_value" : 0, - "property_tax_rate" : 0, - "pbi_fed_escal" : 0, "cbi_sta_deprbas_fed" : 0, "ur_yearzero_usage_peaks" : [ 0 ], - "lib_dispatch_factor8" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, - "const_per_upfront_rate5" : 0, + "pbi_oth_tax_fed" : 1, + "equip_reserve_depr_sta" : 0, "ibi_sta_percent_tax_sta" : 1, "ibi_oth_percent_tax_fed" : 1, - "const_per_months5" : 0, - "const_per_interest_rate2" : 0, + "ur_billing_demand_lookback_period" : 0, "pbi_uti_tax_fed" : 1, "pbi_oth_term" : 0, - "const_per_principal3" : 0, "dscr" : 1.3, - "ui_generic_capacity" : 0, - "ur_start_date" : "empty", - "lib_dispatch_factor3" : 1, - "dscr_reserve_months" : 6, "depr_itc_sta_macrs_15" : 0, - "ui_electricity_rate_option" : 1, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "dscr_reserve_months" : 6, + "ibi_fed_amount_tax_fed" : 1, + "ibi_oth_amount" : 0, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, "cbi_oth_amount" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_enable_billing_demand" : 0, "ppa_multiplier_model" : 0, "depr_fedbas_method" : 1, "ibi_fed_amount_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_percent2" : 0, - "const_per_months1" : 6, "depr_alloc_macrs_15_percent" : 0, "ibi_uti_percent" : 0, - "ur_ts_buy_rate" : [ 0 ], + "depr_itc_fed_macrs_5" : 0, + "ibi_oth_amount_tax_fed" : 1, "ibi_fed_percent_deprbas_sta" : 0, - "ui_wind_cost" : 292032000, + "ur_ts_buy_rate" : [ 0 ], + "grid_curtailment_price_esc" : 0, + "ibi_uti_amount" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "ibi_fed_percent_tax_fed" : 1, "ptc_sta_amount" : [ 0 ], - "depr_itc_fed_sl_20" : 0, - "const_per_months3" : 0, - "ui_hyb_pv_capacity" : 76923.100000000006, - "ur_cr_sched" : [ [ 0 ] ], - "wind_system_capacity" : 200000, "cbi_oth_deprbas_fed" : 0, "salvage_percentage" : 0, - "const_per_upfront_rate1" : 1, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, "om_fixed" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "ui_system_nameplate_standalone" : 0, - "term_int_rate" : 4, - "depr_stabas_method" : 1, "depr_alloc_custom_percent" : 0, - "itc_sta_percent_deprbas_sta" : 0, + "depr_stabas_method" : 1, + "term_int_rate" : 4, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ur_en_ts_buy_rate" : 0, "cbi_fed_tax_sta" : 1, "payment_option" : 0, - "ur_uri" : "empty", - "insurance_rate" : 0, + "itc_sta_percent_deprbas_sta" : 0, "cbi_sta_tax_fed" : 1, + "insurance_rate" : 0, "federal_tax_rate" : [ 21 ], - "nominal_discount_rate" : 9.0600000000000023, "ibi_uti_percent_tax_fed" : 1, - "reserves_interest" : 1.25, - "hybrid_capital_cost" : 0, - "lib_dispatch_factor2" : 1, + "construction_financing_cost" : 13658573.968400739, "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.25, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "ibi_sta_percent" : 0, - "ur_fixed_attrs" : "", - "cp_capacity_credit_percent" : [ 0 ], + "pbi_sta_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, "pbi_fed_tax_fed" : 1, + "cp_capacity_credit_percent" : [ 0 ], "depr_bonus_sta_custom" : 0, - "ptc_fed_term" : 10, "prop_tax_cost_assessed_percent" : 100, - "property_assessed_value" : 520326627.36764717, + "ptc_fed_term" : 10, + "ibi_uti_percent_deprbas_fed" : 0, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "itc_fed_amount_deprbas_sta" : 1, + "batt_salvage_percentage" : 0, "ppa_price_input" : [ 0.050000000000000003 ], "ptc_sta_escal" : 0, - "ur_billing_demand_lookback_period" : 0, - "ur_name" : "", - "const_per_total3" : 0, "system_capacity" : 276923.09999999998, - "const_per_interest5" : 0, - "dscr_limit_debt_fraction" : 0, - "solution_mode_message" : "", - "ibi_fed_percent_tax_fed" : 1, - "ur_demand_reactive_power_charge" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "const_per_name2" : "Loan 2", - "ur_ratedata_filename" : "", - "show_capitalcostso" : 1, - "const_per_total4" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_sta_amount" : 0, - "const_per_name5" : "Loan 5", - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ui_wacc" : 5.3872800000000014, - "depr_itc_sta_custom" : 0, - "cost_debt_fee" : 2.75, - "cbi_fed_deprbas_sta" : 0, - "pbi_sta_for_ds" : 0, - "tod_library" : "Uniform Dispatch", - "const_per_percent_total" : 100, - "const_per_percent3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "depr_bonus_sta_sl_20" : 0, "ppa_soln_mode" : 1, + "depr_bonus_sta_sl_20" : 0, "itc_fed_percent_deprbas_sta" : 1, "prop_tax_assessed_decline" : 0, "flip_target_percent" : 11, - "ur_schedule_name" : "empty", "en_electricity_rates" : 1, - "const_per_principal1" : 520326627.36764717, - "ur_dc_enable" : 0, "dscr_maximum_debt_fraction" : 100, + "ur_dc_enable" : 0, "debt_percent" : 60, "pbi_sta_amount" : [ 0 ], - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], - "ur_voltage_category" : "", - "const_per_percent5" : 0, - "mera_name3" : "Replacement Reserve 3", + "cbi_fed_tax_fed" : 1, + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, "ibi_uti_amount_deprbas_fed" : 0, "analysis_period" : 25, - "ibi_oth_amount" : 0, - "ibi_uti_amount" : 0, - "grid_curtailment_price_esc" : 0, - "lib_dispatch_factor6" : 1, - "ur_description" : "", - "cost_debt_closing" : 0, - "ur_demand_max" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "ur_energy_max" : 0, - "construction_financing_cost" : 13658573.968400739, - "depr_itc_sta_sl_39" : 0, - "ur_service_type" : "", - "ur_fuel_adjustments_monthly" : [ 0 ], - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "pbi_oth_escal" : 0, "ur_nm_credit_month" : 0, - "ur_voltage_min" : 0, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_sl_20_percent" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "const_per_principal_total" : 520326627.36764717, - "total_installed_cost" : 520326627.36764717, - "equip2_reserve_cost" : 0, - "lib_dispatch_factor9" : 1, - "equip_reserve_depr_sta" : 0, - "pbi_oth_tax_fed" : 1, - "const_per_upfront_rate2" : 0, + "ibi_uti_amount_tax_sta" : 1, + "real_discount_rate" : 6.4000000000000004, + "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "const_per_interest_rate4" : 0, "om_production" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, - "cbi_sta_tax_sta" : 1, - "pbi_uti_escal" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "cp_capacity_payment_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "pbi_uti_amount" : [ 0 ], + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, "flip_target_year" : 20, - "const_per_total5" : 0, - "mera_cost3" : 0, - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "pbi_uti_escal" : 0, + "depr_itc_sta_custom" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, "ptc_fed_amount" : [ 0 ], - "ur_energy_history" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "ibi_uti_percent_deprbas_sta" : 0, - "depr_bonus_sta_macrs_15" : 0, - "ui_fuel_cell_cost" : 0, - "ui_battery_cost" : 111900127.3676472, - "const_per_name4" : "Loan 4", - "batt_replacement_option" : 1, - "pbi_uti_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, - "cbi_uti_maxvalue" : 0, - "revenue_capacity_payments_is_shown" : 0, - "sales_tax_rate" : 5, - "const_per_interest1" : 8455307.6947242673, - "mera_cost1" : 27692309.999999996, - "equip2_reserve_freq" : 0, - "const_per_months2" : 0, - "ibi_uti_amount_tax_sta" : 1, - "real_discount_rate" : 6.4000000000000004, - "revenue_curtailment_is_shown" : 0, + "depr_itc_sta_sl_39" : 0, + "ibi_fed_percent_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, + "depr_alloc_sl_15_percent" : 0, "cbi_oth_tax_sta" : 1, "itc_sta_amount" : [ 0 ], - "const_per_months4" : 0, - "ur_en_ts_sell_rate" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "equip_reserve_depr_fed" : 0, - "ui_battery_capacity" : 57600.053208000005, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_replacement_option" : 1, "depr_custom_schedule" : [ 0 ], - "pbi_sta_tax_sta" : 1, - "battery_total_installed_cost" : 111900127.3676472, - "depr_alloc_sl_15_percent" : 0, - "batt_salvage_value" : 0, - "om_capacity" : 0, - "months_receivables_reserve" : 0, - "ur_phase_wiring" : "", "pbi_sta_term" : 0, "pbi_fed_for_ds" : 0, - "mera_cost2" : 0, + "months_receivables_reserve" : 0, + "ibi_fed_percent_maxvalue" : 0, + "grid_curtailment_price" : [ 0 ], + "cost_debt_closing" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, + "om_capacity" : 0, + "total_installed_cost" : 520326627.36764717, + "equip2_reserve_cost" : 0, + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "depr_bonus_sta_macrs_15" : 0, + "ibi_sta_amount_tax_sta" : 1, + "ibi_oth_percent_maxvalue" : 0, + "pbi_sta_tax_sta" : 1, "depr_bonus_sta_sl_39" : 0, - "debt_message" : "", - "grid_interconnection_limit_kwac" : 20000, - "number table entries" : 377 - }, - "windpower" : { - "ops_env_loss" : 1, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "adjust_en_periods" : 0, - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "adjust_timeindex" : [ 0 ], - "wind_resource_shear" : 0.14000000000000001, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "om_capacity" : [ 40 ], - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "wind_turbine_hub_ht" : 80, - "wind_resource_model_choice" : 0, - "om_fixed" : [ 0 ], - "wind_resource_distribution" : [ [ 0 ] ], - "elec_eff_loss" : 1.9099999999999999, - "ops_load_loss" : 0.98999999999999999, - "number table entries" : 50 + "number table entries" : 242 }, "battery" : { - "batt_dispatch_auto_can_charge" : 1, - "ppa_multiplier_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "batt_vnom" : 3.3999999999999999, + "batt_cycle_cost_choice" : 0, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_loss_choice" : 0, "batt_current_choice" : 1, - "batt_qexp" : 0.040000050000000002, "batt_dispatch_update_frequency_hours" : 1, "batt_look_ahead_hours" : 18, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_surface_area" : 18000.016627500001, - "batt_cycle_cost_choice" : 0, - "total_installed_cost" : 111900127.3676472, - "batt_dispatch_wf_forecast_choice" : 0, + "batt_initial_SOC" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_auto_can_charge" : 1, + "analysis_period" : 25, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287770.04999999999, - "batt_qfull_flow" : 479616.75, "batt_calendar_q0" : 1.02, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_minimum_soc" : 10, + "om_batt_replacement_cost" : [ 323 ], + "batt_Qfull_flow" : 479616.75, "om_fixed_escal" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "LeadAcid_q20_computed" : 479616.75, "om_production_escal" : 0, "batt_calendar_choice" : 1, + "batt_replacement_capacity" : 50, + "batt_Cp" : 1500, "batt_mass" : 3428574.595714286, "batt_calendar_b" : -7280, - "batt_chem" : 1, - "batt_dispatch_pvs_soc_rest" : 50, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_power_charge_max_kwac" : 62500.057734375012, "batt_dispatch_pvs_ki" : 1.8, "dispatch_manual_system_charge_first" : 0, "batt_replacement_schedule_percent" : [ 0 ], - "batt_vexp" : 4.0499999999999998, - "analysis_period" : 25, - "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_computed_bank_capacity" : 240000.22170000002, + "om_batt_nameplate" : 240000.22170000002, "batt_replacement_option" : 1, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_cp" : 1500, - "batt_replacement_capacity" : 50, - "leadacid_tn" : 1, - "batt_power_charge_max_kwac" : 62500.057734375012, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_wf_forecast_choice" : 0, + "total_installed_cost" : 111900127.3676472, "batt_dc_ac_efficiency" : 96, "batt_resistance" : 0.002, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 57600.053208000005, + "grid_interconnection_limit_kwac" : 20000, + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, "om_replacement_cost_escal" : 0, - "batt_ac_dc_efficiency" : 96, "batt_minimum_modetime" : 10, - "inflation_rate" : 2.5, + "batt_ac_dc_efficiency" : 96, + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_room_temperature_celsius" : [ 25 ], + "batt_Vcut" : 2.706, + "batt_loss_choice" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_computed_strings" : 213163, + "batt_calendar_c" : 939, + "batt_surface_area" : 18000.016627500001, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, "om_batt_fixed_cost" : [ 0 ], "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_life_model" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "om_capacity_escal" : 0, - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "batt_custom_dispatch" : [ 0 ], - "batt_current_charge_max" : 119904.1875, - "batt_dispatch_pvs_kp" : 1.2, - "batt_calendar_c" : 939, - "batt_computed_strings" : 213163, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_maximum_soc" : 95, - "batt_dispatch_pvs_max_ramp" : 10, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "inflation_rate" : 2.5, "batt_voltage_choice" : 0, - "batt_c_rate" : 0.20000000000000001, "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_current_discharge_max" : 119904.1875, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dc_dc_efficiency" : 99, - "om_batt_replacement_cost" : [ 323 ], - "om_batt_variable_cost" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_meter_position" : 1, + "batt_Qexp" : 0.040000050000000002, + "batt_dispatch_choice" : 3, "batt_inverter_efficiency_cutoff" : 90, - "batt_vfull" : 4.0999999999999996, - "batt_computed_series" : 139, + "batt_dc_dc_efficiency" : 99, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_max_ramp" : 10, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_life_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "ppa_multiplier_model" : 0, + "batt_chem" : 1, + "batt_calendar_a" : 0.00266, + "batt_minimum_SOC" : 10, + "batt_C_rate" : 0.20000000000000001, + "batt_Vfull" : 4.0999999999999996, "ppa_escalation" : 1, - "batt_dispatch_choice" : 3, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "om_batt_nameplate" : 240000.22170000002, - "batt_computed_bank_capacity" : 240000.22170000002, - "batt_power_charge_max_kwdc" : 60000.055425000006, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_vcut" : 2.706, + "LeadAcid_q10_computed" : 446043.57750000001, + "batt_Qnom" : 2.0000002500000003, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_maximum_SOC" : 95, + "batt_Vnom" : 3.3999999999999999, "om_batt_capacity_cost" : [ 5.2999999999999998 ], "batt_power_discharge_max_kwdc" : 60000.055425000006, - "batt_calendar_a" : 0.00266, - "batt_qfull" : 2.25, - "batt_vnom_default" : 3.6000000000000001, - "batt_losses" : [ 0 ], - "batt_ac_or_dc" : 1, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_h_to_ambient" : 100, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_dispatch_pvs_kp" : 1.2, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_computed_series" : 139, + "batt_cycle_cost" : [ 0 ], + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_initial_soc" : 50, - "batt_meter_position" : 1, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 60000.055425000006, "en_standalone_batt" : 0, "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_h_to_ambient" : 100, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_pvs_ac_ub_enable" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "leadacid_q20_computed" : 479616.75, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "leadacid_q10_computed" : 446043.57750000001, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_current_charge_max" : 119904.1875, + "batt_custom_dispatch" : [ 0 ], "number table entries" : 118 }, "number table entries" : 5 From e64326bd8d56a343abf737ac7669a9c194b1e9b0 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 10:15:58 -0700 Subject: [PATCH 22/24] update cmod_hybrid_Test input jsons --- ... FuelCell Battery Hybrid_Single Owner.json | 188 +++--- ...ts Wind Battery Hybrid_Host Developer.json | 551 ++++++++---------- ...atts Wind Battery Hybrid_Single Owner.json | 114 ++-- 3 files changed, 404 insertions(+), 449 deletions(-) diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index f5e082ef9..96f35752c 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -149,52 +149,58 @@ "batt_custom_dispatch" : [ 0 ], "number table entries" : 118 }, - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], "om_production" : [ 0 ], - "om_land_lease" : [ 0 ], - "tilt" : 0, - "om_production_escal" : 0, + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, + "env_exposure_loss" : 0, "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, - "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_diff" : 0, - "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], "om_fixed" : [ 0 ], - "number table entries" : 44 + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 }, "fuelcell" : { "add_om_num_types" : 2, @@ -242,60 +248,54 @@ "fuelcell_lhv" : 983, "number table entries" : 43 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, + "pvwattsv8" : { + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "total_installed_cost" : 116394500, "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032100, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, + "dc_ac_ratio" : 1.3, "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 + "om_production" : [ 0 ], + "om_land_lease" : [ 0 ], + "tilt" : 0, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "batt_simple_enable" : 0, + "analysis_period" : 25, + "module_type" : 0, + "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "system_capacity" : 100000, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "en_snowloss" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, + "array_type" : 2, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity" : [ 15 ], + "land_area" : 433.51782985136145, + "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, + "shading_en_string_option" : 0, + "degradation" : [ 0.5 ], + "om_fixed" : [ 0 ], + "number table entries" : 44 }, - "grid" : { + "hybrid" : { "pbi_oth_escal" : 0, "depr_itc_fed_custom" : 0, "ibi_sta_amount_deprbas_sta" : 0, @@ -438,7 +438,7 @@ "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, - "om_fixed" : 0, + "om_fixed" : [ 0 ], "depr_alloc_custom_percent" : 0, "depr_stabas_method" : 1, "term_int_rate" : 7, @@ -497,7 +497,7 @@ "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : 0, + "om_production" : [ 0 ], "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, "depr_itc_sta_macrs_5" : 0, @@ -528,7 +528,7 @@ "cost_debt_closing" : 0, "cp_capacity_payment_esc" : 0, "term_tenor" : 18, - "om_capacity" : 0, + "om_capacity" : [ 0 ], "total_installed_cost" : 1638428611.5915861, "equip2_reserve_cost" : 0, "depr_bonus_fed_custom" : 0, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index fff9536f0..3ef6c035d 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,6 +1,6 @@ { "input" : { - "compute_modules" : ["pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","host_developer"], + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], "pvwattsv8" : { "shading_mxh" : [ [ 0 ] ], "om_production_escal" : 0, @@ -45,290 +45,78 @@ "om_fixed" : [ 0 ], "number table entries" : 41 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 21, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0 ], - "wind_farm_xCoordinates" : [ 0 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 464400, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 100, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 35 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "fuelcell" : { - "add_om_num_types" : 2, - "fuelcell_availability_schedule" : [ [ 0, 0 ] ], - "om_fuelcell_variable_cost" : [ 0 ], - "om_fuel_cost" : [ 10.369999999999999 ], - "fuelcell_replacement_option" : 0, - "dispatch_manual_units_fc_discharge" : [ 0 ], - "fuelcell_operation_options" : 1, - "fuelcell_unit_min_power" : 60, - "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "om_fuelcell_replacement_cost" : [ 0 ], - "fuelcell_number_of_units" : 1, - "om_fuel_cost_escal" : 0, - "fuelcell_degradation_restart" : 1, - "om_fuelcell_fixed_cost" : [ 0 ], - "fuelcell_type" : 2, - "fuelcell_replacement_percent" : 50, - "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], - "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "fuelcell_dispatch_choice" : 0, - "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "fuelcell_degradation" : 0.0045662100456621002, - "fuelcell_dynamic_response_down" : 500, - "total_installed_cost" : 2100000, - "om_capacity_escal" : 0, - "fuelcell_dynamic_response_up" : 500, - "om_production_escal" : 0, - "om_fixed_escal" : 0, - "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], - "om_replacement_cost_escal" : 0, - "fuelcell_fuel_type" : 0, - "om_fuelcell_capacity_cost" : [ 27 ], - "fuelcell_is_started" : 0, - "fuelcell_shutdown_time" : 24, - "fuelcell_startup_time" : 24, - "fuelcell_replacement_schedule" : [ 0 ], - "dispatch_manual_percent_fc_discharge" : [ 0 ], - "fuelcell_efficiency_choice" : 0, - "fuelcell_unit_max_power" : 200, - "analysis_period" : 25, - "fuelcell_fixed_pct" : 95, - "fuelcell_fuel_available" : 10000000000, - "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], - "fuelcell_lhv" : 983, - "number table entries" : 43 - }, - "battery" : { - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "batt_Qfull_flow" : 2398.5, - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, - "batt_dispatch_auto_can_charge" : 1, - "batt_load_ac_forecast_escalation" : [ 0 ], - "om_fixed_escal" : 0, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "total_installed_cost" : 890575.53609214595, - "batt_dispatch_wf_forecast_choice" : 0, - "ppa_price_input" : [ 0.153 ], - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "analysis_period" : 25, - "dispatch_manual_system_charge_first" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_custom_dispatch" : [ 0 ], - "batt_target_choice" : 0, - "batt_current_charge_max" : 575.6400000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_Vnom_default" : 3.6000000000000001, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_power_discharge_max_kwdc" : 312.55453125000008, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "batt_replacement_option" : 1, - "batt_C_rate" : 0.20000000000000001, - "batt_minimum_SOC" : 15, - "batt_calendar_a" : 0.00266, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "en_batt" : 1, - "batt_load_ac_forecast" : [ 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "LeadAcid_qn_computed" : 1439.0999999999999, - "batt_surface_area" : 90.015705000000011, - "batt_calendar_q0" : 1.02, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "batt_replacement_capacity" : 50, - "batt_Cp" : 1500, - "batt_computed_bank_capacity" : 1200.2094000000002, - "om_batt_nameplate" : 1200.2094000000002, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 288.05025600000005, - "batt_calendar_b" : -7280, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 300.05235000000005, - "batt_Qnom" : 2.0000002500000003, - "batt_current_choice" : 1, - "batt_loss_choice" : 0, - "batt_Vcut" : 2.706, - "om_replacement_cost_escal" : 0, - "batt_ac_dc_efficiency" : 96, - "batt_minimum_modetime" : 10, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "batt_target_power" : [ 0 ], - "om_batt_replacement_cost" : [ 0 ], - "batt_power_charge_max_kwac" : 300.05235000000005, - "ppa_escalation" : 1, - "batt_Vfull" : 4.0999999999999996, - "LeadAcid_q10_computed" : 2230.605, - "batt_current_discharge_max" : 624.60937500000011, - "batt_dc_dc_efficiency" : 99, - "om_capacity_escal" : 0, - "batt_life_model" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_pv_clipping_forecast" : [ 0 ], - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "ppa_multiplier_model" : 0, - "batt_chem" : 1, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_inverter_efficiency_cutoff" : 90, - "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "LeadAcid_q20_computed" : 2398.5, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 7.5, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], - "batt_voltage_choice" : 0, - "batt_target_power_monthly" : [ 0 ], - "batt_cycle_cost_choice" : 0, - "batt_computed_strings" : 1066, - "batt_calendar_c" : 939, - "batt_Qexp" : 0.040000050000000002, - "batt_meter_position" : 0, - "en_wave_batt" : 0, - "batt_dispatch_discharge_only_load_exceeds_system" : 1, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_dispatch_load_forecast_choice" : 0, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_initial_SOC" : 50, - "load_escalation" : [ 0 ], - "batt_mass" : 17145.848571428574, - "batt_maximum_SOC" : 95, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_computed_series" : 139, - "batt_resistance" : 0.002, - "batt_dc_ac_efficiency" : 96, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "batt_Vnom" : 3.3999999999999999, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "number table entries" : 113 - }, - "grid" : { + "hybrid" : { + "pbi_fed_amount" : [ 0 ], "cbi_oth_deprbas_sta" : 0, + "loan_moratorium" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "cost_debt_fee" : 2.75, + "itc_sta_percent_deprbas_fed" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "depr_alloc_sl_20_percent" : 0, + "depr_bonus_fed_sl_15" : 0, "ppa_multiplier_model" : 0, "ur_enable_billing_demand" : 0, "depr_itc_fed_custom" : 0, "pbi_oth_escal" : 0, - "depr_alloc_sl_20_percent" : 0, - "depr_bonus_fed_sl_15" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "om_capacity" : 0, - "cbi_sta_tax_fed" : 1, + "cbi_fed_tax_fed" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "debt_option" : 1, + "inflation_rate" : 2.5, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ts_sell_rate" : [ 0 ], + "ibi_uti_amount" : 0, "ur_billing_demand_minimum" : 0, "depr_alloc_macrs_15_percent" : 0, - "cost_debt_fee" : 2.75, - "itc_sta_percent_deprbas_fed" : 0, - "system_capacity" : 769.56500000000005, - "ibi_sta_percent_tax_fed" : 1, - "cbi_fed_amount" : 0, - "depr_bonus_sta" : 0, - "ibi_oth_amount_deprbas_fed" : 0, - "itc_fed_amount_deprbas_fed" : 1, - "cbi_fed_tax_fed" : 1, - "depr_bonus_sta_macrs_15" : 0, - "ibi_oth_amount_tax_fed" : 1, - "depr_itc_fed_macrs_5" : 0, "ibi_uti_percent_tax_fed" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "depr_fedbas_method" : 1, "equip3_reserve_freq" : 0, + "depr_bonus_sta_macrs_15" : 0, "equip3_reserve_cost" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ur_billing_demand_lookback_period" : 0, + "om_capacity" : [ 0 ], + "cbi_sta_tax_fed" : 1, "ibi_oth_percent_tax_fed" : 1, - "ibi_sta_percent_maxvalue" : 0, + "ur_billing_demand_lookback_period" : 0, + "system_capacity" : 569.56500000000005, + "ibi_sta_percent_tax_fed" : 1, + "cbi_fed_amount" : 0, + "depr_bonus_sta" : 0, "ibi_uti_percent_maxvalue" : 0, "depr_itc_sta_sl_39" : 0, - "ur_ts_buy_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "ibi_fed_percent_deprbas_sta" : 0, - "total_installed_cost" : 5293747.8721842924, - "equip2_reserve_cost" : 0, - "loan_moratorium" : 0, - "debt_option" : 1, - "inflation_rate" : 2.5, - "ppa_soln_mode" : 0, + "ur_ts_buy_rate" : [ 0 ], "depr_bonus_sta_sl_20" : 0, + "ppa_soln_mode" : 1, "prop_tax_assessed_decline" : 0, "itc_fed_percent_deprbas_sta" : 1, "flip_target_percent" : 11, + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "cbi_oth_amount" : 0, "cbi_uti_amount" : 0, "depr_itc_sta_sl_15" : 0, + "ptc_fed_escal" : 0, + "ur_metering_option" : 0, "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "ibi_sta_amount" : 0, "ibi_oth_percent_deprbas_fed" : 0, "load_escalation" : [ 0 ], "depr_itc_fed_sl_39" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : 0, + "om_production" : [ 0 ], "depr_itc_fed_macrs_15" : 0, "depr_bonus_fed_sl_39" : 0, "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ptc_fed_escal" : 0, - "ur_metering_option" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, "depr_bonus_fed_sl_5" : 0, "pbi_uti_tax_sta" : 1, "ibi_oth_amount_tax_sta" : 1, - "cbi_oth_deprbas_fed" : 0, - "salvage_percentage" : 0, - "ptc_sta_amount" : [ 0 ], "depr_bonus_sta_sl_5" : 0, "ibi_fed_percent_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, @@ -341,21 +129,22 @@ "enable_interconnection_limit" : 0, "cbi_fed_deprbas_fed" : 0, "rate_escalation" : [ 0 ], + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_percent_tax_sta" : 1, "itc_sta_amount" : [ 0 ], "cbi_oth_tax_sta" : 1, "ibi_fed_percent_maxvalue" : 0, "pbi_sta_term" : 0, "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "ur_dc_sched_weekday" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], - "ur_ts_sell_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ibi_uti_amount" : 0, "ibi_fed_amount_deprbas_fed" : 0, "depr_alloc_sl_5_percent" : 0, - "ibi_uti_percent_tax_sta" : 1, "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], "cbi_sta_deprbas_sta" : 0, + "ibi_uti_percent_tax_sta" : 1, "ibi_oth_amount_deprbas_sta" : 0, "ibi_fed_amount" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_itc_fed_macrs_5" : 0, "cbi_uti_tax_sta" : 1, "depr_bonus_sta_macrs_5" : 0, "pbi_oth_tax_sta" : 1, @@ -378,24 +167,22 @@ "flip_target_year" : 20, "pbi_oth_term" : 0, "pbi_uti_tax_fed" : 1, - "dscr" : 1.3, "pbi_fed_tax_fed" : 1, "depr_bonus_sta_custom" : 0, "ur_annual_min_charge" : 0, - "ptc_fed_term" : 0, + "ptc_fed_term" : 10, "prop_tax_cost_assessed_percent" : 100, - "pbi_fed_escal" : 0, - "depr_itc_sta_sl_20" : 0, - "property_tax_rate" : 1, "depr_bonus_fed_macrs_5" : 1, "itc_fed_percent_deprbas_fed" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 100, 20 ], [ 1, 2, 9.9999999999999998e+37, 15 ], [ 2, 1, 100, 10 ], [ 2, 2, 9.9999999999999998e+37, 5 ] ], + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, "payment_option" : 0, "pbi_fed_tax_sta" : 1, "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "depr_alloc_macrs_5_percent" : 100, "depr_itc_sta_macrs_5" : 0, "depr_bonus_sta_sl_15" : 0, @@ -411,21 +198,12 @@ "pbi_fed_term" : 0, "itc_sta_amount_deprbas_fed" : 0, "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.25, - "construction_financing_cost" : 138960.88164483767, + "reserves_interest" : 1.75, + "construction_financing_cost" : 47365.635414037504, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "pbi_fed_amount" : [ 0 ], "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, - "om_fixed" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "ibi_sta_amount_deprbas_fed" : 0, - "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], - "ibi_fed_amount_deprbas_sta" : 0, - "depr_fedbas_method" : 1, + "om_fixed" : [ 0 ], "host_real_discount_rate" : 6.4000000000000004, "dscr_limit_debt_fraction" : 0, "equip_reserve_depr_sta" : 0, @@ -437,71 +215,248 @@ "itc_fed_amount_deprbas_sta" : 1, "ibi_uti_percent" : 0, "ibi_uti_percent_deprbas_fed" : 0, + "salvage_percentage" : 0, + "cbi_oth_deprbas_fed" : 0, "cbi_sta_tax_sta" : 1, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "real_discount_rate" : 6.4000000000000004, "ibi_uti_amount_tax_sta" : 1, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period" : 25, - "pbi_sta_escal" : 0, - "itc_sta_percent" : [ 0 ], - "ur_monthly_fixed_charge" : 0, "ppa_escalation" : 1, + "ur_monthly_fixed_charge" : 0, "pbi_sta_tax_fed" : 1, - "debt_percent" : 60, - "ur_dc_enable" : 1, - "dscr_maximum_debt_fraction" : 100, + "ibi_oth_percent" : 0, "months_working_reserve" : 6, "cbi_fed_deprbas_sta" : 0, "pbi_sta_for_ds" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "ur_dc_enable" : 0, "ibi_fed_amount_tax_fed" : 1, + "property_tax_rate" : 0, + "pbi_fed_escal" : 0, + "depr_itc_sta_sl_20" : 0, "depr_itc_fed_sl_5" : 0, "cbi_sta_maxvalue" : 0, "pbi_fed_for_ds" : 0, "months_receivables_reserve" : 0, "pbi_sta_amount" : [ 0 ], "pbi_sta_tax_sta" : 1, + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, "term_tenor" : 18, + "federal_tax_rate" : [ 21 ], + "insurance_rate" : 0, "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], "pbi_uti_for_ds" : 0, - "depr_alloc_custom_percent" : 0, "depr_stabas_method" : 1, "term_int_rate" : 7, - "depr_itc_fed_sl_15" : 0, + "depr_alloc_custom_percent" : 0, + "dscr" : 1.3, "ptc_sta_term" : 10, "cost_other_financing" : 0, - "insurance_rate" : 1, - "federal_tax_rate" : [ 21 ], + "depr_itc_fed_sl_15" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "depr_itc_fed_sl_20" : 0, + "grid_outage" : [ 0 ], + "ibi_fed_percent_tax_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "equip1_reserve_freq" : 15, "ibi_sta_percent" : 0, - "ibi_oth_percent" : 0, + "ibi_uti_percent_deprbas_sta" : 0, "ibi_oth_amount" : 0, "depr_bonus_sta_sl_39" : 0, - "pbi_oth_amount" : [ 0 ], - "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, "state_tax_rate" : [ 7 ], "depr_bonus_fed_custom" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], "ur_en_ts_sell_rate" : 0, - "ibi_uti_percent_deprbas_sta" : 0, - "cbi_oth_amount" : 0, - "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], "cbi_oth_maxvalue" : 0, - "ibi_sta_percent_deprbas_fed" : 0, - "equip1_reserve_freq" : 15, "ur_nm_credit_month" : 11, "depr_itc_sta_custom" : 0, "ibi_sta_amount_tax_fed" : 1, "cbi_uti_maxvalue" : 0, "depr_custom_schedule" : [ 0 ], - "grid_outage" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "depr_itc_fed_sl_20" : 0, + "ptc_sta_amount" : [ 0 ], + "pbi_sta_escal" : 0, + "itc_sta_percent" : [ 0 ], "ur_en_ts_buy_rate" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_fed_percent_tax_fed" : 1, + "total_installed_cost" : 1804405.15863, + "equip2_reserve_cost" : 0, "number table entries" : 238 }, - "number table entries" : 6 + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 21, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0 ], + "wind_farm_xCoordinates" : [ 0 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 464400, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 100, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 35 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 + }, + "battery" : { + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "batt_dispatch_auto_can_charge" : 1, + "batt_load_ac_forecast_escalation" : [ 0 ], + "batt_Qfull_flow" : 2398.5, + "batt_custom_dispatch" : [ 0 ], + "batt_target_choice" : 0, + "batt_current_charge_max" : 599.625, + "batt_dispatch_choice" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0 ], + "batt_replacement_option" : 1, + "dispatch_manual_system_charge_first" : 1, + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "en_batt" : 1, + "batt_load_ac_forecast" : [ 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "grid_interconnection_limit_kwac" : 20000, + "LeadAcid_qn_computed" : 1439.0999999999999, + "batt_calendar_b" : -7280, + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, + "batt_minimum_SOC" : 30, + "batt_calendar_a" : 0.00266, + "batt_C_rate" : 0.20000000000000001, + "analysis_period" : 25, + "batt_surface_area" : 90.015705000000011, + "batt_calendar_q0" : 1.02, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "batt_replacement_capacity" : 0, + "batt_Cp" : 1500, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_Vnom_default" : 3.6000000000000001, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_computed_bank_capacity" : 1200.2094000000002, + "om_batt_nameplate" : 1200.2094000000002, + "batt_dispatch_wf_forecast_choice" : 0, + "total_installed_cost" : 391808.35863000003, + "om_fixed_escal" : 0, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 288.05025600000005, + "batt_Qnom" : 2.0000002500000003, + "batt_current_choice" : 1, + "batt_loss_choice" : 0, + "batt_Vcut" : 2.706, + "om_replacement_cost_escal" : 0, + "batt_ac_dc_efficiency" : 96, + "batt_minimum_modetime" : 10, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, + "batt_target_power" : [ 0 ], + "om_batt_replacement_cost" : [ 225.06 ], + "batt_power_charge_max_kwac" : 312.55453125000008, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_inverter_efficiency_cutoff" : 90, + "batt_dc_dc_efficiency" : 99, + "batt_current_discharge_max" : 599.625, + "ppa_escalation" : 1, + "batt_Vfull" : 4.0999999999999996, + "LeadAcid_q10_computed" : 2230.605, + "batt_life_model" : 0, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_pv_clipping_forecast" : [ 0 ], + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "ppa_multiplier_model" : 0, + "batt_chem" : 1, + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "LeadAcid_q20_computed" : 2398.5, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_h_to_ambient" : 7.5, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "batt_voltage_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "batt_meter_position" : 0, + "ppa_price_input" : [ 0.153 ], + "batt_calendar_c" : 939, + "batt_computed_strings" : 1066, + "en_wave_batt" : 0, + "batt_dispatch_discharge_only_load_exceeds_system" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_cycle_cost" : [ 0 ], + "batt_dispatch_load_forecast_choice" : 0, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "load_escalation" : [ 0 ], + "batt_mass" : 17145.848571428574, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_maximum_SOC" : 95, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "batt_computed_series" : 139, + "batt_resistance" : 0.002, + "batt_dc_ac_efficiency" : 96, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_target_power_monthly" : [ 0 ], + "batt_cycle_cost_choice" : 0, + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "batt_Vnom" : 3.3999999999999999, + "en_standalone_batt" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "number table entries" : 114 + }, + "number table entries" : 5 }, "compute_module_0" : "hybrid", "number_compute_modules" : 1, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 00a080c93..1a9059de2 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -48,60 +48,7 @@ "om_fixed" : [ 0 ], "number table entries" : 44 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "grid" : { + "hybrid" : { "ur_ts_sell_rate" : [ 0 ], "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_sta" : 0, @@ -245,7 +192,7 @@ "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, - "om_fixed" : 0, + "om_fixed" : [ 0 ], "depr_alloc_custom_percent" : 0, "depr_stabas_method" : 1, "term_int_rate" : 4, @@ -300,7 +247,7 @@ "real_discount_rate" : 6.4000000000000004, "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : 0, + "om_production" : [ 0 ], "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, "cp_capacity_payment_amount" : [ 0 ], @@ -334,7 +281,7 @@ "cost_debt_closing" : 0, "cp_capacity_payment_esc" : 0, "term_tenor" : 18, - "om_capacity" : 0, + "om_capacity" : [ 0 ], "total_installed_cost" : 520326627.36764717, "equip2_reserve_cost" : 0, "depr_bonus_fed_custom" : 0, @@ -345,6 +292,59 @@ "pbi_sta_tax_sta" : 1, "depr_bonus_sta_sl_39" : 0, "number table entries" : 242 + }, + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 }, "battery" : { "batt_cycle_cost_choice" : 0, From e7ec71e08e8e19f35930bd9fabdd92c104c322be Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 5 Mar 2024 14:28:02 -0700 Subject: [PATCH 23/24] fix battery capacity in hybrids --- ssc/cmod_hybrid.cpp | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index da543dbe3..3054c84dc 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -428,7 +428,8 @@ class cm_hybrid : public compute_module std::string& compute_module = batteries[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - hybridSystemCapacity += compute_module_inputs->table.lookup("batt_computed_bank_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems + ssc_number_t system_capacity = compute_module_inputs->table.lookup("batt_power_discharge_max_kwac")->num; + hybridSystemCapacity += system_capacity; // TODO: check capacity definitions for batteries and hybrid systems hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; // copy over required dispatch variables from hybrid From c55680399611dd1dbe396c1421128a77e9aad13d Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Wed, 6 Mar 2024 02:01:40 -0700 Subject: [PATCH 24/24] Fix failing CmodHybridTest and align with patch branch of SAM default configurations --- ... FuelCell Battery Hybrid_Single Owner.json | 926 +++++++++--------- ...ts Wind Battery Hybrid_Host Developer.json | 818 ++++++++-------- ...atts Wind Battery Hybrid_Single Owner.json | 844 ++++++++-------- test/ssc_test/cmod_hybrid_test.cpp | 36 +- 4 files changed, 1312 insertions(+), 1312 deletions(-) diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index 96f35752c..c955cec5b 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -1,542 +1,542 @@ { "input" : { "compute_modules" : ["generic_system","pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","singleowner"], - "generic_system" : { - "conv_eff" : 34.118048447628794, + "windpower" : { + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, "adjust_en_timeindex" : 0, - "energy_output_array" : [ 0 ], - "system_use_lifetime_output" : 0, - "heat_rate" : 10, - "adjust_timeindex" : [ 0 ], - "spec_mode" : 0, - "system_capacity" : 100000, - "om_fuel_cost" : [ 10 ], + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_farm_wake_model" : 0, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "env_icing_loss" : 0.20999999999999999, + "adjust_en_periods" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 40 ], + "system_capacity" : 200000, + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "ops_load_loss" : 0.98999999999999999, + "turb_hysteresis_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, "om_capacity_escal" : 0, - "total_installed_cost" : 1128750000, - "om_fuel_cost_escal" : 0, - "derate" : 4, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "number table entries" : 50 + }, + "generic_system" : { + "adjust_en_timeindex" : 0, "om_capacity" : [ 40 ], + "om_fuel_cost" : [ 10 ], + "adjust_timeindex" : [ 0 ], + "system_use_lifetime_output" : 0, "om_production_escal" : 0, - "om_fixed_escal" : 0, - "user_capacity_factor" : 90, + "adjust_constant" : 0, "adjust_en_periods" : 0, - "om_production" : [ 0 ], + "adjust_periods" : [ [ 0, 0, 0 ] ], + "energy_output_array" : [ 0 ], + "conv_eff" : 34.118048447628794, + "derate" : 4, + "system_capacity" : 100000, + "heat_rate" : 10, + "om_capacity_escal" : 0, + "om_fuel_cost_escal" : 0, + "spec_mode" : 0, "degradation" : [ 0 ], - "adjust_constant" : 0, + "om_production" : [ 0 ], "analysis_period" : 25, + "user_capacity_factor" : 90, + "om_fixed_escal" : 0, "om_fixed" : [ 0 ], + "total_installed_cost" : 1128750000, "number table entries" : 24 }, "battery" : { - "batt_dispatch_pvs_timestep_multiplier" : 3, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "batt_dispatch_pvs_soc_rest" : 50, + "batt_loss_choice" : 0, + "batt_meter_position" : 1, + "batt_voltage_choice" : 0, + "batt_maximum_SOC" : 95, + "batt_losses" : [ 0 ], "LeadAcid_q20_computed" : 479616.75, - "om_fixed_escal" : 0, + "batt_minimum_SOC" : 15, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "LeadAcid_q10_computed" : 446043.57750000001, "batt_cycle_cost_choice" : 0, - "batt_current_choice" : 1, - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_initial_SOC" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_Vnom_default" : 3.6000000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_dispatch_auto_can_charge" : 1, - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, - "analysis_period" : 25, - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "batt_Vfull" : 4.0999999999999996, + "batt_resistance" : 0.002, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "LeadAcid_tn" : 1, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "batt_calendar_q0" : 1.02, - "batt_Qfull_flow" : 479616.75, - "batt_replacement_capacity" : 0, - "batt_Cp" : 1500, - "batt_mass" : 3428574.595714286, - "batt_calendar_b" : -7280, - "batt_dispatch_pvs_ki" : 1.8, - "dispatch_manual_system_charge_first" : 0, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_pvs_short_forecast_enable" : 0, + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_Qnom" : 2.0000002500000003, "batt_computed_bank_capacity" : 240000.22170000002, + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "inflation_rate" : 2.5, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_surface_area" : 18000.016627500001, "om_batt_nameplate" : 240000.22170000002, - "batt_replacement_option" : 1, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_dc_dc_efficiency" : 99, + "batt_computed_strings" : 213163, "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 99152011.591586128, + "batt_Qfull_flow" : 479616.75, + "dispatch_manual_system_charge_first" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_Vcut" : 2.706, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 119904.1875, + "batt_Qfull" : 2.25, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62500.057734375012, - "batt_dc_ac_efficiency" : 96, - "batt_resistance" : 0.002, - "grid_interconnection_limit_kwac" : 20000, - "LeadAcid_qn_computed" : 287770.04999999999, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "om_replacement_cost_escal" : 0, - "batt_minimum_modetime" : 10, "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 60000.055425000006, "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_room_temperature_celsius" : [ 25 ], - "batt_Vcut" : 2.706, - "batt_loss_choice" : 0, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_C_rate" : 0.20000000000000001, + "batt_Vexp" : 4.0499999999999998, + "batt_Vnom" : 3.3999999999999999, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_Cp" : 1500, + "batt_mass" : 3428574.595714286, + "batt_h_to_ambient" : 100, "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_computed_strings" : 213163, + "batt_room_temperature_celsius" : [ 25 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, "batt_calendar_c" : 939, - "batt_surface_area" : 18000.016627500001, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "batt_voltage_choice" : 0, - "batt_dispatch_pvs_kf" : 0.29999999999999999, + "om_fixed_escal" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "ppa_escalation" : 1, + "batt_replacement_capacity" : 0, + "batt_replacement_option" : 1, + "batt_cycle_cost" : [ 0 ], "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_meter_position" : 1, - "batt_Qexp" : 0.040000050000000002, - "batt_dispatch_choice" : 3, - "batt_inverter_efficiency_cutoff" : 90, - "batt_dc_dc_efficiency" : 99, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_current_discharge_max" : 119904.1875, - "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, "batt_dispatch_pvs_ac_ub_enable" : 0, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_max_ramp" : 10, - "om_capacity_escal" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_life_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "ppa_multiplier_model" : 0, - "om_batt_replacement_cost" : [ 323 ], - "batt_chem" : 1, - "batt_calendar_a" : 0.00266, - "batt_minimum_SOC" : 15, - "batt_C_rate" : 0.20000000000000001, - "batt_Vfull" : 4.0999999999999996, - "ppa_escalation" : 1, - "LeadAcid_q10_computed" : 446043.57750000001, - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], - "batt_Qnom" : 2.0000002500000003, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_pvs_curtail_as_control" : 0, "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_nameplate_ac" : 20000, - "batt_maximum_SOC" : 95, - "batt_Vnom" : 3.3999999999999999, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_power_discharge_max_kwdc" : 60000.055425000006, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 100, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_dispatch_pvs_kp" : 1.2, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_computed_series" : 139, - "batt_cycle_cost" : [ 0 ], - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 60000.055425000006, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_current_charge_max" : 119904.1875, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "total_installed_cost" : 99152011.591586128, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_pv_clipping_forecast" : [ 0 ], "batt_custom_dispatch" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_minimum_modetime" : 10, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_dispatch_choice" : 3, + "om_batt_replacement_cost" : [ 323 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, "number table entries" : 118 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, + "pvwattsv8" : { + "array_type" : 2, + "dc_ac_ratio" : 1.3, + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, + "om_land_lease" : [ 0 ], + "losses" : 14.075660688264469, + "shading_azal" : [ [ 0 ] ], + "shading_string_option" : 0, + "om_land_lease_escal" : 0, + "adjust_en_periods" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_production_escal" : 0, + "system_use_lifetime_output" : 0, + "batt_simple_enable" : 0, + "degradation" : [ 0.5 ], + "azimuth" : 180, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 0, + "module_type" : 0, + "system_capacity" : 100000, + "shading_diff" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "om_capacity" : [ 15 ], + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_timestep" : 0, + "total_installed_cost" : 116394500, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, + "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_en_azal" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032100, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], + "shading_en_string_option" : 0, + "use_wf_albedo" : 1, "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, + "land_area" : 433.51782985136145, + "number table entries" : 44 }, "fuelcell" : { - "add_om_num_types" : 2, - "fuelcell_availability_schedule" : [ [ 0, 0 ] ], - "om_fuelcell_variable_cost" : [ 0 ], - "om_fuel_cost" : [ 10.369999999999999 ], - "fuelcell_replacement_option" : 0, - "dispatch_manual_units_fc_discharge" : [ 0 ], - "fuelcell_operation_options" : 1, - "fuelcell_unit_min_power" : 60, - "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "om_fuelcell_replacement_cost" : [ 0 ], - "fuelcell_number_of_units" : 1, - "om_fuel_cost_escal" : 0, - "fuelcell_degradation_restart" : 1, - "om_fuelcell_fixed_cost" : [ 0 ], "fuelcell_type" : 2, - "fuelcell_replacement_percent" : 50, - "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], - "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "fuelcell_dispatch_choice" : 0, - "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "fuelcell_degradation" : 0.0045662100456621002, + "fuelcell_availability_schedule" : [ [ 0, 0 ] ], + "fuelcell_efficiency_choice" : 0, + "fuelcell_degradation_restart" : 1, + "fuelcell_fuel_available" : 10000000000, "fuelcell_dynamic_response_down" : 500, - "total_installed_cost" : 2100000, - "om_capacity_escal" : 0, + "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_unit_max_power" : 200, "fuelcell_dynamic_response_up" : 500, - "om_production_escal" : 0, - "om_fixed_escal" : 0, - "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], - "om_replacement_cost_escal" : 0, - "fuelcell_fuel_type" : 0, - "om_fuelcell_capacity_cost" : [ 27 ], - "fuelcell_is_started" : 0, + "dispatch_manual_units_fc_discharge" : [ 0 ], + "fuelcell_replacement_percent" : 50, + "fuelcell_lhv" : 983, "fuelcell_shutdown_time" : 24, + "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], + "om_fuelcell_variable_cost" : [ 0 ], + "fuelcell_number_of_units" : 1, + "fuelcell_operation_options" : 1, + "fuelcell_is_started" : 0, + "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], "fuelcell_startup_time" : 24, + "om_fuel_cost_escal" : 0, + "om_capacity_escal" : 0, + "fuelcell_fuel_type" : 0, "fuelcell_replacement_schedule" : [ 0 ], - "dispatch_manual_percent_fc_discharge" : [ 0 ], - "fuelcell_efficiency_choice" : 0, - "fuelcell_unit_max_power" : 200, + "om_production_escal" : 0, + "fuelcell_replacement_option" : 0, + "fuelcell_unit_min_power" : 60, + "om_fuelcell_fixed_cost" : [ 0 ], + "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], "analysis_period" : 25, + "dispatch_manual_percent_fc_discharge" : [ 0 ], + "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "fuelcell_fixed_pct" : 95, - "fuelcell_fuel_available" : 10000000000, - "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], - "fuelcell_lhv" : 983, - "number table entries" : 43 - }, - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, - "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "om_production" : [ 0 ], - "om_land_lease" : [ 0 ], - "tilt" : 0, - "om_production_escal" : 0, + "fuelcell_dispatch_choice" : 0, + "om_fuel_cost" : [ 10.369999999999999 ], + "total_installed_cost" : 2100000, + "add_om_num_types" : 2, + "om_fuelcell_capacity_cost" : [ 27 ], "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, - "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_diff" : 0, - "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], - "om_fixed" : [ 0 ], - "number table entries" : 44 + "om_fuelcell_replacement_cost" : [ 0 ], + "om_replacement_cost_escal" : 0, + "number table entries" : 43 }, "hybrid" : { - "pbi_oth_escal" : 0, - "depr_itc_fed_custom" : 0, - "ibi_sta_amount_deprbas_sta" : 0, - "ur_ts_sell_rate" : [ 0 ], - "depr_itc_fed_sl_39" : 0, - "depr_bonus_fed_sl_15" : 0, - "depr_alloc_sl_20_percent" : 0, - "ibi_sta_amount_tax_fed" : 1, + "depr_bonus_fed_custom" : 0, + "flip_target_year" : 10, + "om_capacity" : [ 0 ], + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, "cp_capacity_payment_type" : 0, - "pbi_oth_for_ds" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_amount" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "ur_billing_demand_minimum" : 0, - "ibi_uti_percent_maxvalue" : 0, - "depr_itc_fed_macrs_15" : 0, - "ibi_fed_percent" : 0, - "depr_bonus_sta_sl_5" : 0, + "inflation_rate" : 2.5, "depr_bonus_fed" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_percent_tax_fed" : 1, - "pbi_uti_term" : 0, - "cbi_oth_deprbas_sta" : 0, - "depr_bonus_sta" : 0, - "cbi_fed_amount" : 0, - "depr_itc_sta_sl_15" : 0, - "cbi_uti_amount" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "cbi_oth_maxvalue" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, - "itc_fed_percent" : [ 30 ], - "cbi_sta_amount" : 0, - "depr_alloc_macrs_5_percent" : 100, - "pbi_fed_tax_sta" : 1, - "cbi_sta_maxvalue" : 0, - "depr_itc_fed_sl_5" : 0, - "ur_enable_billing_demand" : 0, - "ppa_multiplier_model" : 0, - "itc_sta_percent_deprbas_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "term_int_rate" : 7, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 377123.09999999998, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, - "depr_bonus_fed_sl_39" : 0, - "itc_fed_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, - "ibi_sta_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_5" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "cbi_uti_deprbas_sta" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "itc_fed_amount_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "depr_bonus_fed_macrs_5" : 1, + "ibi_sta_amount_deprbas_fed" : 0, + "equip3_reserve_freq" : 0, + "enable_interconnection_limit" : 0, "itc_fed_percent_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, - "ibi_uti_percent_tax_sta" : 1, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], - "equip1_reserve_freq" : 15, - "rate_escalation" : [ 0 ], - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "depr_bonus_fed_sl_5" : 0, - "prop_tax_cost_assessed_percent" : 100, - "ptc_fed_term" : 10, - "ur_annual_min_charge" : 0, - "equip3_reserve_cost" : 0, - "inflation_rate" : 2.5, - "debt_option" : 1, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "dscr_limit_debt_fraction" : 0, - "cp_battery_nameplate" : 0, - "months_working_reserve" : 6, - "ppa_escalation" : 1, - "pbi_sta_tax_fed" : 1, - "ur_monthly_fixed_charge" : 0, - "pbi_fed_term" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "cp_system_nameplate" : 377.12309999999997, - "cbi_uti_tax_sta" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "depr_itc_fed_sl_15" : 0, - "ptc_sta_term" : 10, - "cost_other_financing" : 0, "cbi_fed_deprbas_fed" : 0, - "enable_interconnection_limit" : 0, - "property_tax_rate" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_fed_escal" : 0, - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "loan_moratorium" : 0, - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "pbi_oth_tax_fed" : 1, - "equip_reserve_depr_sta" : 0, - "ibi_sta_percent_tax_sta" : 1, + "ptc_sta_amount" : [ 0 ], "ibi_oth_percent_tax_fed" : 1, - "ur_billing_demand_lookback_period" : 0, - "pbi_uti_tax_fed" : 1, - "pbi_oth_term" : 0, - "dscr" : 1.3, + "dscr_maximum_debt_fraction" : 100, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, "depr_itc_sta_macrs_15" : 0, - "dscr_reserve_months" : 6, - "ibi_fed_amount_tax_fed" : 1, - "ibi_oth_amount" : 0, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "cbi_oth_amount" : 0, + "pbi_oth_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "prop_tax_assessed_decline" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, "ibi_fed_amount_deprbas_sta" : 0, - "depr_fedbas_method" : 1, - "equip3_reserve_freq" : 0, - "depr_alloc_macrs_15_percent" : 0, - "ibi_uti_percent" : 0, - "depr_itc_fed_macrs_5" : 0, - "ibi_oth_amount_tax_fed" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ibi_uti_amount" : 0, - "grid_curtailment_price_esc" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "ibi_fed_percent_tax_fed" : 1, - "ptc_sta_amount" : [ 0 ], - "cbi_oth_deprbas_fed" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "salvage_percentage" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "depr_itc_fed_sl_20" : 0, - "depr_alloc_sl_39_percent" : 0, - "cbi_oth_tax_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "total_installed_cost" : 1638428611.5915861, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, "om_fixed" : [ 0 ], - "depr_alloc_custom_percent" : 0, - "depr_stabas_method" : 1, - "term_int_rate" : 7, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ur_en_ts_buy_rate" : 0, - "cbi_fed_tax_sta" : 1, - "payment_option" : 0, - "itc_sta_percent_deprbas_sta" : 0, - "cbi_sta_tax_fed" : 1, - "insurance_rate" : 0, + "om_production" : [ 0 ], + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, "federal_tax_rate" : [ 21 ], - "ibi_uti_percent_tax_fed" : 1, - "construction_financing_cost" : 43008751.054279134, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.7250000000000001, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "dscr" : 1.3, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, "ibi_sta_percent" : 0, - "pbi_sta_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, + "pbi_sta_term" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "ibi_fed_amount" : 0, + "depr_itc_sta_sl_15" : 0, + "cbi_fed_tax_sta" : 1, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, "pbi_fed_tax_fed" : 1, - "cp_capacity_credit_percent" : [ 0 ], - "depr_bonus_sta_custom" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_sta_amount" : 0, + "depr_alloc_sl_5_percent" : 0, + "payment_option" : 0, + "grid_curtailment_price_esc" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "dscr_limit_debt_fraction" : 0, + "depr_custom_schedule" : [ 0 ], + "cost_debt_closing" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ur_nm_credit_rollover" : 0, + "ibi_oth_amount_tax_fed" : 1, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, + "construction_financing_cost" : 43008751.054279134, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "itc_sta_percent_deprbas_fed" : 0, + "depr_bonus_sta_macrs_5" : 0, + "pbi_oth_escal" : 0, + "ibi_sta_amount" : 0, + "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, - "itc_fed_amount_deprbas_sta" : 1, - "batt_salvage_percentage" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "ibi_oth_amount" : 0, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "reserves_interest" : 1.7250000000000001, + "depr_bonus_fed_sl_39" : 0, + "ur_billing_demand_minimum" : 0, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, "ppa_price_input" : [ 0.050000000000000003 ], - "ptc_sta_escal" : 0, - "system_capacity" : 377123.09999999998, - "real_discount_rate" : 6.4000000000000004, - "ibi_uti_amount_tax_sta" : 1, + "ibi_oth_percent_maxvalue" : 0, "ppa_soln_mode" : 1, - "depr_bonus_sta_sl_20" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "prop_tax_assessed_decline" : 0, - "flip_target_percent" : 11, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_sta" : 0, + "itc_fed_amount" : [ 0 ], + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, "pbi_sta_amount" : [ 0 ], - "ur_dc_enable" : 0, - "dscr_maximum_debt_fraction" : 100, - "debt_percent" : 60, - "cbi_fed_tax_fed" : 1, + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "cp_battery_nameplate" : 0, + "cp_capacity_credit_percent" : [ 0 ], + "ptc_fed_term" : 10, + "pbi_oth_amount" : [ 0 ], + "cp_system_nameplate" : 377.12309999999997, + "ibi_sta_percent_deprbas_sta" : 0, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period" : 25, - "ur_nm_credit_month" : 0, - "pbi_uti_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, - "ibi_oth_percent_maxvalue" : 0, + "itc_sta_percent_deprbas_sta" : 0, "ibi_sta_amount_tax_sta" : 1, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "cbi_uti_maxvalue" : 0, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : [ 0 ], - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "ur_en_ts_sell_rate" : 0, - "flip_target_year" : 10, - "pbi_uti_escal" : 0, - "depr_itc_sta_custom" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "ibi_uti_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_39" : 0, - "ibi_fed_percent_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "depr_alloc_sl_15_percent" : 0, + "cbi_fed_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "cbi_oth_deprbas_fed" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, "cbi_oth_tax_sta" : 1, - "itc_sta_amount" : [ 0 ], - "depr_custom_schedule" : [ 0 ], - "pbi_sta_term" : 0, - "pbi_fed_for_ds" : 0, - "months_receivables_reserve" : 0, - "ibi_fed_percent_maxvalue" : 0, - "grid_curtailment_price" : [ 0 ], - "cost_debt_closing" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "om_capacity" : [ 0 ], - "total_installed_cost" : 1638428611.5915861, - "equip2_reserve_cost" : 0, - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "pbi_sta_escal" : 0, + "depr_itc_sta_sl_20" : 0, "pbi_sta_tax_sta" : 1, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "depr_bonus_sta_sl_39" : 0, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "number table entries" : 241 }, "number table entries" : 7 diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index 3ef6c035d..f34fba891 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,460 +1,460 @@ { "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "om_production_escal" : 0, + "windpower" : { "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "total_installed_cost" : 948196.80000000005, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.1499999999999999, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, "adjust_en_timeindex" : 0, - "en_snowloss" : 0, + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 21, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "wind_farm_wake_model" : 0, + "wind_farm_yCoordinates" : [ 0 ], + "env_icing_loss" : 0.20999999999999999, "adjust_en_periods" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 35 ], + "system_capacity" : 100, + "wind_farm_xCoordinates" : [ 0 ], + "ops_load_loss" : 0.98999999999999999, + "turb_hysteresis_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 464400, + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], "om_production" : [ 0 ], - "tilt" : 20, - "azimuth" : 180, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "system_capacity" : 540, - "shading_en_mxh" : 0, + "number table entries" : 50 + }, + "pvwattsv8" : { + "array_type" : 1, + "dc_ac_ratio" : 1.1499999999999999, "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, + "shading_en_diff" : 0, "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, + "shading_azal" : [ [ 0 ] ], + "adjust_en_periods" : 0, "shading_string_option" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_en_string_option" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_production_escal" : 0, + "system_use_lifetime_output" : 0, + "batt_simple_enable" : 0, + "degradation" : [ 0.5 ], + "azimuth" : 180, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 20, + "module_type" : 0, + "system_capacity" : 540, "shading_diff" : 0, - "shading_azal" : [ [ 0 ] ], + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], "om_capacity" : [ 22 ], - "bifaciality" : 0, - "adjust_constant" : 0, - "degradation" : [ 0.5 ], "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "array_type" : 1, + "shading_en_timestep" : 0, + "total_installed_cost" : 948196.80000000005, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_en_azal" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, + "om_production" : [ 0 ], + "shading_en_string_option" : 0, + "use_wf_albedo" : 1, "om_fixed" : [ 0 ], + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, "number table entries" : 41 }, - "hybrid" : { - "pbi_fed_amount" : [ 0 ], - "cbi_oth_deprbas_sta" : 0, - "loan_moratorium" : 0, - "ibi_sta_amount_deprbas_fed" : 0, - "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], - "cost_debt_fee" : 2.75, - "itc_sta_percent_deprbas_fed" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "depr_alloc_sl_20_percent" : 0, - "depr_bonus_fed_sl_15" : 0, + "battery" : { + "batt_loss_choice" : 0, + "batt_meter_position" : 0, + "batt_voltage_choice" : 0, + "batt_maximum_SOC" : 95, + "batt_losses" : [ 0 ], + "LeadAcid_q20_computed" : 2398.5, + "batt_minimum_SOC" : 30, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "LeadAcid_q10_computed" : 2230.605, + "batt_cycle_cost_choice" : 0, + "batt_Vfull" : 4.0999999999999996, + "batt_resistance" : 0.002, + "LeadAcid_tn" : 1, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "LeadAcid_qn_computed" : 1439.0999999999999, + "batt_Qnom" : 2.0000002500000003, + "batt_computed_bank_capacity" : 1200.2094000000002, + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "inflation_rate" : 2.5, + "add_om_num_types" : 1, + "batt_chem" : 1, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_surface_area" : 90.015705000000011, + "om_batt_nameplate" : 1200.2094000000002, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 599.625, + "batt_dc_dc_efficiency" : 99, + "batt_computed_strings" : 1066, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Qfull_flow" : 2398.5, + "dispatch_manual_system_charge_first" : 1, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_Vcut" : 2.706, + "batt_Qfull" : 2.25, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 599.625, + "batt_Cp" : 1500, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 312.55453125000008, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_power_discharge_max_kwac" : 288.05025600000005, + "batt_C_rate" : 0.20000000000000001, + "batt_Vexp" : 4.0499999999999998, + "batt_Vnom" : 3.3999999999999999, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_mass" : 17145.848571428574, + "batt_h_to_ambient" : 7.5, + "batt_room_temperature_celsius" : [ 25 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, + "batt_calendar_c" : 939, + "om_fixed_escal" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "ppa_escalation" : 1, + "batt_replacement_capacity" : 0, + "batt_replacement_option" : 1, + "batt_target_power" : [ 0 ], + "batt_dispatch_choice" : 0, + "batt_target_choice" : 0, + "batt_target_power_monthly" : [ 0 ], + "batt_custom_dispatch" : [ 0 ], + "batt_load_ac_forecast_escalation" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "en_wave_batt" : 0, + "batt_cycle_cost" : [ 0 ], + "en_batt" : 1, + "batt_minimum_modetime" : 10, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_discharge_only_load_exceeds_system" : 1, + "batt_load_ac_forecast" : [ 0 ], + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "batt_dispatch_load_forecast_choice" : 0, + "total_installed_cost" : 391808.35863000003, + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "om_batt_replacement_cost" : [ 225.06 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "load_escalation" : [ 0 ], "ppa_multiplier_model" : 0, - "ur_enable_billing_demand" : 0, - "depr_itc_fed_custom" : 0, - "pbi_oth_escal" : 0, - "cbi_fed_tax_fed" : 1, - "itc_fed_amount_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "debt_option" : 1, + "ppa_price_input" : [ 0.153 ], + "number table entries" : 114 + }, + "hybrid" : { + "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "flip_target_year" : 20, + "real_discount_rate" : 6.4000000000000004, + "ibi_fed_percent_tax_sta" : 1, "inflation_rate" : 2.5, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ts_sell_rate" : [ 0 ], - "ibi_uti_amount" : 0, - "ur_billing_demand_minimum" : 0, - "depr_alloc_macrs_15_percent" : 0, - "ibi_uti_percent_tax_fed" : 1, - "ibi_fed_amount_deprbas_sta" : 0, - "depr_fedbas_method" : 1, - "equip3_reserve_freq" : 0, - "depr_bonus_sta_macrs_15" : 0, - "equip3_reserve_cost" : 0, - "om_capacity" : [ 0 ], - "cbi_sta_tax_fed" : 1, - "ibi_oth_percent_tax_fed" : 1, - "ur_billing_demand_lookback_period" : 0, - "system_capacity" : 569.56500000000005, - "ibi_sta_percent_tax_fed" : 1, - "cbi_fed_amount" : 0, - "depr_bonus_sta" : 0, - "ibi_uti_percent_maxvalue" : 0, - "depr_itc_sta_sl_39" : 0, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_ts_buy_rate" : [ 0 ], - "depr_bonus_sta_sl_20" : 0, - "ppa_soln_mode" : 1, - "prop_tax_assessed_decline" : 0, - "itc_fed_percent_deprbas_sta" : 1, + "cost_debt_closing" : 0, "flip_target_percent" : 11, - "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], - "cbi_oth_amount" : 0, - "cbi_uti_amount" : 0, - "depr_itc_sta_sl_15" : 0, + "term_int_rate" : 7, "ptc_fed_escal" : 0, - "ur_metering_option" : 0, - "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ibi_sta_amount" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "load_escalation" : [ 0 ], - "depr_itc_fed_sl_39" : 0, - "cbi_uti_tax_fed" : 1, - "om_production" : [ 0 ], - "depr_itc_fed_macrs_15" : 0, - "depr_bonus_fed_sl_39" : 0, - "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_fed_sl_5" : 0, - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "depr_bonus_sta_sl_5" : 0, - "ibi_fed_percent_tax_sta" : 1, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_fed_maxvalue" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "depr_bonus_fed" : 0, + "months_working_reserve" : 6, + "system_capacity" : 569.56500000000005, + "cbi_uti_amount" : 0, + "pbi_oth_tax_sta" : 1, "grid_interconnection_limit_kwac" : 20000, - "pbi_oth_for_ds" : 0, + "cost_debt_fee" : 2.75, + "federal_tax_rate" : [ 21 ], + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "om_capacity" : [ 0 ], + "equip3_reserve_freq" : 0, "enable_interconnection_limit" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_outage" : [ 0 ], + "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, - "rate_escalation" : [ 0 ], - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_percent_tax_sta" : 1, + "ptc_sta_amount" : [ 0 ], + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "depr_itc_sta_macrs_15" : 0, + "ppa_escalation" : 1, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "prop_tax_assessed_decline" : 0, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, + "cbi_oth_maxvalue" : 0, + "pbi_fed_tax_sta" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "loan_moratorium" : 0, + "ur_billing_demand_minimum" : 0, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "ppa_price_input" : [ 0.153 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "cbi_fed_tax_sta" : 1, + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, + "host_real_discount_rate" : 6.4000000000000004, + "pbi_oth_amount" : [ 0 ], + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "dscr" : 1.3, "itc_sta_amount" : [ 0 ], - "cbi_oth_tax_sta" : 1, - "ibi_fed_percent_maxvalue" : 0, + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_sta_percent" : 0, "pbi_sta_term" : 0, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "ibi_fed_amount_deprbas_fed" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "cbi_uti_deprbas_fed" : 0, + "debt_option" : 1, + "term_tenor" : 18, + "property_tax_rate" : 0, + "om_production" : [ 0 ], + "pbi_fed_tax_fed" : 1, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_sta_amount" : 0, "depr_alloc_sl_5_percent" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], - "cbi_sta_deprbas_sta" : 0, - "ibi_uti_percent_tax_sta" : 1, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, + "payment_option" : 0, + "dscr_limit_debt_fraction" : 0, + "depr_custom_schedule" : [ 0 ], + "ibi_fed_amount_deprbas_fed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "cbi_oth_tax_fed" : 1, + "batt_replacement_option" : 1, + "pbi_fed_amount" : [ 0 ], + "depr_alloc_macrs_5_percent" : 100, + "ur_enable_billing_demand" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_fed_percent_maxvalue" : 0, + "ur_nm_credit_rollover" : 0, "ibi_oth_amount_tax_fed" : 1, - "depr_itc_fed_macrs_5" : 0, - "cbi_uti_tax_sta" : 1, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 47365.635414037504, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "itc_sta_percent_deprbas_fed" : 0, "depr_bonus_sta_macrs_5" : 0, - "pbi_oth_tax_sta" : 1, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_sl_15_percent" : 0, - "ibi_sta_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_5" : 0, + "pbi_oth_escal" : 0, + "ibi_sta_amount" : 0, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, "equip1_reserve_cost" : 0.10000000000000001, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, + "pbi_oth_for_ds" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 0, + "ibi_oth_amount" : 0, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "reserves_interest" : 1.75, + "depr_bonus_fed_sl_39" : 0, + "depr_itc_sta_custom" : 0, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_sta" : 0, "itc_fed_amount" : [ 0 ], + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "pbi_sta_amount" : [ 0 ], "ur_nm_yearend_sell_rate" : 0, - "cbi_uti_deprbas_sta" : 0, - "pbi_uti_term" : 0, - "ptc_sta_escal" : 0, - "ppa_price_input" : [ 0.153 ], - "ibi_uti_amount_tax_fed" : 1, - "cost_debt_closing" : 0, - "pbi_uti_escal" : 0, - "flip_target_year" : 20, - "pbi_oth_term" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, "pbi_uti_tax_fed" : 1, - "pbi_fed_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "ur_annual_min_charge" : 0, + "itc_sta_amount_deprbas_fed" : 0, "ptc_fed_term" : 10, - "prop_tax_cost_assessed_percent" : 100, - "depr_bonus_fed_macrs_5" : 1, - "itc_fed_percent_deprbas_fed" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "om_fixed" : [ 0 ], + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, - "cbi_fed_tax_sta" : 1, - "payment_option" : 0, - "pbi_fed_tax_sta" : 1, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_macrs_5_percent" : 100, - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "depr_bonus_fed_macrs_15" : 0, - "pbi_uti_amount" : [ 0 ], - "ibi_oth_percent_maxvalue" : 0, + "load_escalation" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, - "batt_replacement_option" : 1, - "ibi_sta_amount_deprbas_sta" : 0, - "cbi_sta_amount" : 0, - "itc_fed_percent" : [ 30 ], - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "pbi_fed_term" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.75, - "construction_financing_cost" : 47365.635414037504, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "depr_alloc_sl_39_percent" : 0, - "cbi_oth_tax_fed" : 1, - "om_fixed" : [ 0 ], - "host_real_discount_rate" : 6.4000000000000004, - "dscr_limit_debt_fraction" : 0, - "equip_reserve_depr_sta" : 0, - "pbi_oth_tax_fed" : 1, - "ibi_sta_percent_tax_sta" : 1, - "depr_itc_sta_macrs_15" : 0, - "dscr_reserve_months" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "ibi_uti_percent" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "salvage_percentage" : 0, + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "cbi_fed_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, "cbi_oth_deprbas_fed" : 0, - "cbi_sta_tax_sta" : 1, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "real_discount_rate" : 6.4000000000000004, - "ibi_uti_amount_tax_sta" : 1, - "ppa_escalation" : 1, - "ur_monthly_fixed_charge" : 0, - "pbi_sta_tax_fed" : 1, - "ibi_oth_percent" : 0, - "months_working_reserve" : 6, - "cbi_fed_deprbas_sta" : 0, - "pbi_sta_for_ds" : 0, - "dscr_maximum_debt_fraction" : 100, - "debt_percent" : 60, - "ur_dc_enable" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_sta" : 0, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, "ibi_fed_amount_tax_fed" : 1, - "property_tax_rate" : 0, - "pbi_fed_escal" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 11, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "pbi_sta_escal" : 0, "depr_itc_sta_sl_20" : 0, - "depr_itc_fed_sl_5" : 0, - "cbi_sta_maxvalue" : 0, - "pbi_fed_for_ds" : 0, - "months_receivables_reserve" : 0, - "pbi_sta_amount" : [ 0 ], "pbi_sta_tax_sta" : 1, - "analysis_period" : 25, - "ibi_uti_amount_deprbas_fed" : 0, - "term_tenor" : 18, - "federal_tax_rate" : [ 21 ], - "insurance_rate" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "pbi_uti_amount" : [ 0 ], "pbi_uti_for_ds" : 0, - "depr_stabas_method" : 1, - "term_int_rate" : 7, + "pbi_uti_term" : 0, "depr_alloc_custom_percent" : 0, - "dscr" : 1.3, - "ptc_sta_term" : 10, - "cost_other_financing" : 0, - "depr_itc_fed_sl_15" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "depr_itc_fed_sl_20" : 0, - "grid_outage" : [ 0 ], - "ibi_fed_percent_tax_fed" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "equip1_reserve_freq" : 15, - "ibi_sta_percent" : 0, - "ibi_uti_percent_deprbas_sta" : 0, - "ibi_oth_amount" : 0, - "depr_bonus_sta_sl_39" : 0, - "ibi_fed_percent" : 0, - "state_tax_rate" : [ 7 ], + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_custom" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "depr_bonus_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_fed_sl_5" : 0, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], "ur_en_ts_sell_rate" : 0, - "cbi_oth_maxvalue" : 0, - "ur_nm_credit_month" : 11, - "depr_itc_sta_custom" : 0, - "ibi_sta_amount_tax_fed" : 1, - "cbi_uti_maxvalue" : 0, - "depr_custom_schedule" : [ 0 ], - "ptc_sta_amount" : [ 0 ], - "pbi_sta_escal" : 0, - "itc_sta_percent" : [ 0 ], + "ur_metering_option" : 0, "ur_en_ts_buy_rate" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "total_installed_cost" : 1804405.15863, - "equip2_reserve_cost" : 0, "number table entries" : 238 - }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 21, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0 ], - "wind_farm_xCoordinates" : [ 0 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 464400, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 100, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 35 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "battery" : { - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "batt_dispatch_auto_can_charge" : 1, - "batt_load_ac_forecast_escalation" : [ 0 ], - "batt_Qfull_flow" : 2398.5, - "batt_custom_dispatch" : [ 0 ], - "batt_target_choice" : 0, - "batt_current_charge_max" : 599.625, - "batt_dispatch_choice" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], - "batt_replacement_option" : 1, - "dispatch_manual_system_charge_first" : 1, - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "en_batt" : 1, - "batt_load_ac_forecast" : [ 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "grid_interconnection_limit_kwac" : 20000, - "LeadAcid_qn_computed" : 1439.0999999999999, - "batt_calendar_b" : -7280, - "batt_power_discharge_max_kwdc" : 300.05235000000005, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "batt_minimum_SOC" : 30, - "batt_calendar_a" : 0.00266, - "batt_C_rate" : 0.20000000000000001, - "analysis_period" : 25, - "batt_surface_area" : 90.015705000000011, - "batt_calendar_q0" : 1.02, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "batt_replacement_capacity" : 0, - "batt_Cp" : 1500, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_Vnom_default" : 3.6000000000000001, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_computed_bank_capacity" : 1200.2094000000002, - "om_batt_nameplate" : 1200.2094000000002, - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 391808.35863000003, - "om_fixed_escal" : 0, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 288.05025600000005, - "batt_Qnom" : 2.0000002500000003, - "batt_current_choice" : 1, - "batt_loss_choice" : 0, - "batt_Vcut" : 2.706, - "om_replacement_cost_escal" : 0, - "batt_ac_dc_efficiency" : 96, - "batt_minimum_modetime" : 10, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "batt_target_power" : [ 0 ], - "om_batt_replacement_cost" : [ 225.06 ], - "batt_power_charge_max_kwac" : 312.55453125000008, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_inverter_efficiency_cutoff" : 90, - "batt_dc_dc_efficiency" : 99, - "batt_current_discharge_max" : 599.625, - "ppa_escalation" : 1, - "batt_Vfull" : 4.0999999999999996, - "LeadAcid_q10_computed" : 2230.605, - "batt_life_model" : 0, - "om_capacity_escal" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_pv_clipping_forecast" : [ 0 ], - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "ppa_multiplier_model" : 0, - "batt_chem" : 1, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "LeadAcid_q20_computed" : 2398.5, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 7.5, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], - "batt_voltage_choice" : 0, - "batt_Qexp" : 0.040000050000000002, - "batt_meter_position" : 0, - "ppa_price_input" : [ 0.153 ], - "batt_calendar_c" : 939, - "batt_computed_strings" : 1066, - "en_wave_batt" : 0, - "batt_dispatch_discharge_only_load_exceeds_system" : 1, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_dispatch_load_forecast_choice" : 0, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_initial_SOC" : 50, - "load_escalation" : [ 0 ], - "batt_mass" : 17145.848571428574, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 300.05235000000005, - "batt_maximum_SOC" : 95, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_computed_series" : 139, - "batt_resistance" : 0.002, - "batt_dc_ac_efficiency" : 96, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_target_power_monthly" : [ 0 ], - "batt_cycle_cost_choice" : 0, - "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "batt_Vnom" : 3.3999999999999999, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "number table entries" : 114 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 1a9059de2..f57dd52d3 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -1,471 +1,471 @@ { "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, - "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, + "windpower" : { + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, "adjust_en_timeindex" : 0, + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_farm_wake_model" : 0, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "env_icing_loss" : 0.20999999999999999, "adjust_en_periods" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 40 ], + "system_capacity" : 200000, + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "ops_load_loss" : 0.98999999999999999, + "turb_hysteresis_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], "om_production" : [ 0 ], + "number table entries" : 50 + }, + "pvwattsv8" : { + "array_type" : 2, + "dc_ac_ratio" : 1.3, + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, "om_land_lease" : [ 0 ], - "tilt" : 0, + "losses" : 14.075660688264469, + "shading_azal" : [ [ 0 ] ], + "shading_string_option" : 0, + "om_land_lease_escal" : 0, + "adjust_en_periods" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, - "om_fixed_escal" : 0, + "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, + "degradation" : [ 0.5 ], "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 0, + "module_type" : 0, "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_diff" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "shading_en_timestep" : 0, - "shading_en_diff" : 0, + "total_installed_cost" : 116394500, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], "shading_en_azal" : 0, - "shading_diff" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, + "om_production" : [ 0 ], "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], + "use_wf_albedo" : 1, "om_fixed" : [ 0 ], + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, + "land_area" : 433.51782985136145, "number table entries" : 44 + }, + "battery" : { + "batt_loss_choice" : 0, + "batt_meter_position" : 1, + "batt_voltage_choice" : 0, + "batt_maximum_SOC" : 95, + "batt_losses" : [ 0 ], + "LeadAcid_q20_computed" : 479616.75, + "batt_minimum_SOC" : 10, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "LeadAcid_q10_computed" : 446043.57750000001, + "batt_cycle_cost_choice" : 0, + "batt_Vfull" : 4.0999999999999996, + "batt_resistance" : 0.002, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "LeadAcid_tn" : 1, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_Qnom" : 2.0000002500000003, + "batt_computed_bank_capacity" : 240000.22170000002, + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "inflation_rate" : 2.5, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_surface_area" : 18000.016627500001, + "om_batt_nameplate" : 240000.22170000002, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_dc_dc_efficiency" : 99, + "batt_computed_strings" : 213163, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Qfull_flow" : 479616.75, + "dispatch_manual_system_charge_first" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_Vcut" : 2.706, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 119904.1875, + "batt_Qfull" : 2.25, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62500.057734375012, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 60000.055425000006, + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_C_rate" : 0.20000000000000001, + "batt_Vexp" : 4.0499999999999998, + "batt_Vnom" : 3.3999999999999999, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_Cp" : 1500, + "batt_mass" : 3428574.595714286, + "batt_h_to_ambient" : 100, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_room_temperature_celsius" : [ 25 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, + "batt_calendar_c" : 939, + "om_fixed_escal" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "ppa_escalation" : 1, + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "batt_cycle_cost" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "total_installed_cost" : 111900127.3676472, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_pv_clipping_forecast" : [ 0 ], + "batt_custom_dispatch" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_minimum_modetime" : 10, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_dispatch_choice" : 3, + "om_batt_replacement_cost" : [ 323 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "number table entries" : 118 }, "hybrid" : { - "ur_ts_sell_rate" : [ 0 ], - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_sta" : 0, - "depr_itc_fed_sl_39" : 0, - "depr_bonus_fed_sl_15" : 0, - "depr_alloc_sl_20_percent" : 0, - "ibi_sta_amount_tax_fed" : 1, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_amount" : 0, - "ibi_sta_percent_maxvalue" : 0, + "depr_bonus_fed_custom" : 0, + "flip_target_year" : 20, + "om_capacity" : [ 0 ], + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, "cp_capacity_payment_type" : 0, - "pbi_oth_for_ds" : 0, - "equip3_reserve_freq" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_deprbas_fed" : 0, - "ur_billing_demand_minimum" : 0, - "ur_annual_min_charge" : 0, - "ibi_uti_percent_maxvalue" : 0, - "depr_itc_fed_macrs_15" : 0, - "ibi_sta_percent_deprbas_fed" : 0, - "ibi_fed_percent" : 0, - "depr_bonus_sta_sl_5" : 0, + "inflation_rate" : 2.5, "depr_bonus_fed" : 0, - "ibi_sta_percent_tax_fed" : 1, - "pbi_uti_term" : 0, - "cbi_oth_deprbas_sta" : 0, - "depr_bonus_sta" : 0, - "cbi_fed_amount" : 0, - "depr_itc_sta_sl_15" : 0, - "cbi_uti_amount" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "cbi_oth_maxvalue" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, - "itc_fed_percent" : [ 30 ], - "cbi_sta_amount" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "depr_alloc_macrs_5_percent" : 100, - "pbi_fed_tax_sta" : 1, - "cbi_sta_maxvalue" : 0, - "depr_itc_fed_sl_5" : 0, - "depr_itc_fed_custom" : 0, - "itc_sta_percent_deprbas_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.09999999998, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, - "depr_bonus_sta_sl_15" : 0, - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_fed_sl_39" : 0, - "itc_fed_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, - "ibi_sta_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_5" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "cbi_uti_deprbas_sta" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "itc_fed_amount_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "depr_bonus_fed_macrs_5" : 1, + "ibi_sta_amount_deprbas_fed" : 0, + "equip3_reserve_freq" : 0, + "enable_interconnection_limit" : 0, "itc_fed_percent_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, - "ibi_uti_percent_tax_sta" : 1, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "equip1_reserve_freq" : 15, - "rate_escalation" : [ 0 ], - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "depr_bonus_fed_sl_5" : 0, - "equip3_reserve_cost" : 0, - "inflation_rate" : 2.5, - "debt_option" : 1, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "dscr_limit_debt_fraction" : 0, - "cp_battery_nameplate" : 0, - "months_working_reserve" : 6, - "ppa_escalation" : 1, - "pbi_sta_tax_fed" : 1, - "ur_monthly_fixed_charge" : 0, - "pbi_fed_term" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "cp_system_nameplate" : 276.92309999999998, - "cbi_uti_tax_sta" : 1, - "depr_itc_fed_sl_15" : 0, - "ptc_sta_term" : 10, - "cost_other_financing" : 0, "cbi_fed_deprbas_fed" : 0, - "enable_interconnection_limit" : 0, - "property_tax_rate" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_fed_escal" : 0, - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "loan_moratorium" : 0, - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "pbi_oth_tax_fed" : 1, - "equip_reserve_depr_sta" : 0, - "ibi_sta_percent_tax_sta" : 1, + "ptc_sta_amount" : [ 0 ], "ibi_oth_percent_tax_fed" : 1, - "ur_billing_demand_lookback_period" : 0, - "pbi_uti_tax_fed" : 1, - "pbi_oth_term" : 0, - "dscr" : 1.3, + "dscr_maximum_debt_fraction" : 100, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, "depr_itc_sta_macrs_15" : 0, - "dscr_reserve_months" : 6, - "ibi_fed_amount_tax_fed" : 1, - "ibi_oth_amount" : 0, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "cbi_oth_amount" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "pbi_oth_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "prop_tax_assessed_decline" : 0, "ur_enable_billing_demand" : 0, - "ppa_multiplier_model" : 0, - "depr_fedbas_method" : 1, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, "ibi_fed_amount_deprbas_sta" : 0, - "depr_alloc_macrs_15_percent" : 0, - "ibi_uti_percent" : 0, - "depr_itc_fed_macrs_5" : 0, - "ibi_oth_amount_tax_fed" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_ts_buy_rate" : [ 0 ], - "grid_curtailment_price_esc" : 0, - "ibi_uti_amount" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "ibi_fed_percent_tax_fed" : 1, - "ptc_sta_amount" : [ 0 ], - "cbi_oth_deprbas_fed" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "salvage_percentage" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "depr_itc_fed_sl_20" : 0, - "depr_alloc_sl_39_percent" : 0, - "cbi_oth_tax_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "total_installed_cost" : 520326627.36764717, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, "om_fixed" : [ 0 ], - "depr_alloc_custom_percent" : 0, - "depr_stabas_method" : 1, - "term_int_rate" : 4, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ur_en_ts_buy_rate" : 0, - "cbi_fed_tax_sta" : 1, - "payment_option" : 0, - "itc_sta_percent_deprbas_sta" : 0, - "cbi_sta_tax_fed" : 1, - "insurance_rate" : 0, + "om_production" : [ 0 ], + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, "federal_tax_rate" : [ 21 ], - "ibi_uti_percent_tax_fed" : 1, - "construction_financing_cost" : 13658573.968400739, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.25, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "dscr" : 1.3, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, "ibi_sta_percent" : 0, - "pbi_sta_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, - "pbi_fed_tax_fed" : 1, - "cp_capacity_credit_percent" : [ 0 ], - "depr_bonus_sta_custom" : 0, + "pbi_sta_term" : 0, + "ibi_uti_percent_tax_fed" : 1, "prop_tax_cost_assessed_percent" : 100, - "ptc_fed_term" : 10, + "ibi_fed_amount" : 0, + "depr_itc_sta_sl_15" : 0, + "cbi_fed_tax_sta" : 1, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "pbi_fed_tax_fed" : 1, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_sta_amount" : 0, + "depr_alloc_sl_5_percent" : 0, + "payment_option" : 0, + "grid_curtailment_price_esc" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "dscr_limit_debt_fraction" : 0, + "depr_custom_schedule" : [ 0 ], + "cost_debt_closing" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "batt_replacement_option" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ur_nm_credit_rollover" : 0, + "ibi_oth_amount_tax_fed" : 1, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, + "construction_financing_cost" : 13658573.968400739, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "itc_sta_percent_deprbas_fed" : 0, + "depr_bonus_sta_macrs_5" : 0, + "pbi_oth_escal" : 0, + "ibi_sta_amount" : 0, + "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, - "itc_fed_amount_deprbas_sta" : 1, - "batt_salvage_percentage" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "ibi_oth_amount" : 0, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "reserves_interest" : 1.25, + "depr_bonus_fed_sl_39" : 0, + "ur_billing_demand_minimum" : 0, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, "ppa_price_input" : [ 0.050000000000000003 ], - "ptc_sta_escal" : 0, - "system_capacity" : 276923.09999999998, + "ibi_oth_percent_maxvalue" : 0, "ppa_soln_mode" : 1, - "depr_bonus_sta_sl_20" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "prop_tax_assessed_decline" : 0, - "flip_target_percent" : 11, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, - "dscr_maximum_debt_fraction" : 100, - "ur_dc_enable" : 0, - "debt_percent" : 60, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_sta" : 0, + "itc_fed_amount" : [ 0 ], + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, "pbi_sta_amount" : [ 0 ], - "cbi_fed_tax_fed" : 1, + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "cp_battery_nameplate" : 0, + "cp_capacity_credit_percent" : [ 0 ], + "ptc_fed_term" : 10, + "pbi_oth_amount" : [ 0 ], + "cp_system_nameplate" : 276.92309999999998, + "ibi_sta_percent_deprbas_sta" : 0, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period" : 25, - "pbi_oth_escal" : 0, - "ur_nm_credit_month" : 0, - "ibi_uti_amount_tax_sta" : 1, - "real_discount_rate" : 6.4000000000000004, - "cbi_uti_maxvalue" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : [ 0 ], - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, + "cbi_fed_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "cbi_oth_deprbas_fed" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "pbi_sta_escal" : 0, + "depr_itc_sta_sl_20" : 0, + "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "ur_en_ts_sell_rate" : 0, - "flip_target_year" : 20, - "pbi_uti_escal" : 0, - "depr_itc_sta_custom" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "ibi_uti_percent_deprbas_sta" : 0, + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, - "ibi_fed_percent_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "depr_alloc_sl_15_percent" : 0, - "cbi_oth_tax_sta" : 1, - "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_replacement_option" : 1, - "depr_custom_schedule" : [ 0 ], - "pbi_sta_term" : 0, - "pbi_fed_for_ds" : 0, - "months_receivables_reserve" : 0, - "ibi_fed_percent_maxvalue" : 0, - "grid_curtailment_price" : [ 0 ], - "cost_debt_closing" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "om_capacity" : [ 0 ], - "total_installed_cost" : 520326627.36764717, - "equip2_reserve_cost" : 0, - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], - "depr_bonus_sta_macrs_15" : 0, - "ibi_sta_amount_tax_sta" : 1, - "ibi_oth_percent_maxvalue" : 0, - "pbi_sta_tax_sta" : 1, - "depr_bonus_sta_sl_39" : 0, "number table entries" : 242 - }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "battery" : { - "batt_cycle_cost_choice" : 0, - "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_current_choice" : 1, - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_initial_SOC" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_Vnom_default" : 3.6000000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_dispatch_auto_can_charge" : 1, - "analysis_period" : 25, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "batt_calendar_q0" : 1.02, - "om_batt_replacement_cost" : [ 323 ], - "batt_Qfull_flow" : 479616.75, - "om_fixed_escal" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "LeadAcid_q20_computed" : 479616.75, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "batt_replacement_capacity" : 50, - "batt_Cp" : 1500, - "batt_mass" : 3428574.595714286, - "batt_calendar_b" : -7280, - "batt_power_charge_max_kwac" : 62500.057734375012, - "batt_dispatch_pvs_ki" : 1.8, - "dispatch_manual_system_charge_first" : 0, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_pvs_short_forecast_enable" : 0, - "batt_computed_bank_capacity" : 240000.22170000002, - "om_batt_nameplate" : 240000.22170000002, - "batt_replacement_option" : 1, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 111900127.3676472, - "batt_dc_ac_efficiency" : 96, - "batt_resistance" : 0.002, - "grid_interconnection_limit_kwac" : 20000, - "LeadAcid_qn_computed" : 287770.04999999999, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "om_replacement_cost_escal" : 0, - "batt_minimum_modetime" : 10, - "batt_ac_dc_efficiency" : 96, - "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_room_temperature_celsius" : [ 25 ], - "batt_Vcut" : 2.706, - "batt_loss_choice" : 0, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_computed_strings" : 213163, - "batt_calendar_c" : 939, - "batt_surface_area" : 18000.016627500001, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "om_batt_fixed_cost" : [ 0 ], - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "inflation_rate" : 2.5, - "batt_voltage_choice" : 0, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_meter_position" : 1, - "batt_Qexp" : 0.040000050000000002, - "batt_dispatch_choice" : 3, - "batt_inverter_efficiency_cutoff" : 90, - "batt_dc_dc_efficiency" : 99, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_current_discharge_max" : 119904.1875, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_pvs_ac_ub_enable" : 0, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_max_ramp" : 10, - "om_capacity_escal" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_life_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "ppa_multiplier_model" : 0, - "batt_chem" : 1, - "batt_calendar_a" : 0.00266, - "batt_minimum_SOC" : 10, - "batt_C_rate" : 0.20000000000000001, - "batt_Vfull" : 4.0999999999999996, - "ppa_escalation" : 1, - "LeadAcid_q10_computed" : 446043.57750000001, - "batt_Qnom" : 2.0000002500000003, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_nameplate_ac" : 20000, - "batt_maximum_SOC" : 95, - "batt_Vnom" : 3.3999999999999999, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_power_discharge_max_kwdc" : 60000.055425000006, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_h_to_ambient" : 100, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_dispatch_pvs_kp" : 1.2, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_computed_series" : 139, - "batt_cycle_cost" : [ 0 ], - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 60000.055425000006, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_current_charge_max" : 119904.1875, - "batt_custom_dispatch" : [ 0 ], - "number table entries" : 118 }, "number table entries" : 5 }, diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 3ee244279..9131a3809 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -57,7 +57,7 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto wind_table = ssc_data_get_table(table, "windpower"); char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/WY_Southern-Flat_Lands.srw", std::getenv("SSCDIR")); + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); int errors = run_module(dat, "hybrid"); @@ -74,17 +74,17 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); auto pv_inputs = ssc_data_get_table(inputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 211907455, 211907455 * 0.01); + EXPECT_NEAR(pvannualenergy, 211907456, 211907456 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); auto wind_inputs = ssc_data_get_table(inputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 818888286, 818888286 * 0.01); + EXPECT_NEAR(windannualenergy, 366975552, 366975552 * 0.01); auto batt_outputs = ssc_data_get_table(outputs, "battery"); auto batt_inputs = ssc_data_get_table(inputs, "battery"); ssc_data_get_number(batt_outputs, "annual_energy", &battannualenergy); - EXPECT_NEAR(battannualenergy, 1022195808, 1022195808 * 0.01); + EXPECT_NEAR(battannualenergy, 570565000, 570565000 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); @@ -96,9 +96,9 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); EXPECT_NEAR(om_expenses[1], 10772001, 1); - EXPECT_NEAR(revenue[1], 56354351, 1); - EXPECT_NEAR(ebitda[1], 45582350, 1); - EXPECT_NEAR(npv, 22961119, 61506 * 0.001); + EXPECT_NEAR(revenue[1], 31648432, 1); + EXPECT_NEAR(ebitda[1], 20876432, 1); + EXPECT_NEAR(npv, -242777472, 242777472 * 0.001); } ssc_data_free(dat); dat = nullptr; @@ -126,7 +126,7 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { auto wind_table = ssc_data_get_table(table, "windpower"); char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/WY_Southern-Flat_Lands.srw", std::getenv("SSCDIR")); + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); int errors = run_module(dat, "hybrid"); @@ -143,11 +143,11 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { auto wind_outputs = ssc_data_get_table(outputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 396876, 396876 * 0.01); + EXPECT_NEAR(windannualenergy, 187767, 187767 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, 70350, 70350 * 0.001); + EXPECT_NEAR(npv, -174953, 174953 * 0.001); } ssc_data_free(dat); dat = nullptr; @@ -171,7 +171,7 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { auto wind_table = ssc_data_get_table(table, "windpower"); char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/WY_Southern-Flat_Lands.srw", std::getenv("SSCDIR")); + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); int errors = run_module(dat, "hybrid"); @@ -208,14 +208,14 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { EXPECT_NEAR(genericannualenergy, 756864000., 1e6); - EXPECT_NEAR(pvannualenergy, 211907455., 1e6); - EXPECT_NEAR(windannualenergy, 818888286., 1e6); - EXPECT_NEAR(battannualenergy, 1783633373., 1e6); + EXPECT_NEAR(pvannualenergy, 211907456., 1e6); + EXPECT_NEAR(windannualenergy, 366975552., 1e6); + EXPECT_NEAR(battannualenergy, 1331720000., 1e6); - EXPECT_NEAR(om_expenses[1], 90570833., 1e5); - EXPECT_NEAR(revenue[1], 89461086., 1e5); - EXPECT_NEAR(ebitda[1], -1109746., 1e5); - EXPECT_NEAR(npv, -1545988651., 1e6); + EXPECT_NEAR(om_expenses[1], 90570832., 1e5); + EXPECT_NEAR(revenue[1], 66865452., 1e5); + EXPECT_NEAR(ebitda[1], -23705384., 1e5); + EXPECT_NEAR(npv, -1748593536., 1e6); } ssc_data_free(dat); dat = nullptr;